X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2Fr_w_ram_b.vhd;h=16b44a425899f3408a5a36be96b7a1b78054a82a;hb=6750eea5f750d928426114047ff342256a4fccc6;hp=4f1a0e0e8d8080bf4ea0e40e91ca9e46c06873fb;hpb=7c9e2f34172a9a04aeef756f914203b58c935043;p=calu.git diff --git a/cpu/src/r_w_ram_b.vhd b/cpu/src/r_w_ram_b.vhd index 4f1a0e0..16b44a4 100644 --- a/cpu/src/r_w_ram_b.vhd +++ b/cpu/src/r_w_ram_b.vhd @@ -12,11 +12,29 @@ architecture behaviour of r_w_ram is -- r0 = 0, r1 = 1, r2 = 3, r3 = A - signal ram : RAM_TYPE := ( 0 => "11100001000010001000000000111000", -- r1 = 7 - 1 => "11100001000100010000000000101000", -- r2 = 5 - 2 => "11100001000110011000000000100000", -- r3 = 4 - 3 => "11100000001000010001100000000000", -- r4 = r2 + r3 - 4 => "11100010001010100000100000000000", -- r5 = r4 and r1 + signal ram : RAM_TYPE := ( 0 => "11101101000000000000000000000000", -- r0 = 0 + + 1 => "11101101000010000000000000111000", -- r1 = 7 + 2 => "11101101000100000000000000101000", -- r2 = 5 + 3 => "11101101000110000000000000100000", -- r3 = 4 + 4 => "11100000001000010001100000000000", -- r4 = r2 + r3 + 5 => "11100010001010100000100000000000", -- r5 = r4 and r1 + + 6 => "11100001000000000000000000001000", -- r0 = r0 + 1 + 7 => "11101100100000000000000000011000", -- cmpi r0 , 2 + + 8 => "00001011011111111111110010000011", -- jump -7 + 9 => "11101011000000000000000010000010", -- jump +1 + --10 => "11101011000000000000000010000010", -- jump +1 + + 10 => "11100111101010100000000000000001", -- stw r5,r4,1 + 11 => "11101100001000100000000000000000", -- cmp r4 , r4 => 2-2 => 1001 + + 12 => "11101011000000000000000000000010", -- jump +0 + + + + others => x"F0000000"); -- signal ram : RAM_TYPE := ( 0 => "11100000000100001000000000000000", --add r2, r1, r0 => r2 = 1