X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2Fr_w_ram_b.vhd;h=14cc3bede1dc39a6da050615e729b77c522ba235;hb=7e9aca29a7c71af64c052e9271bace4e1f52bf24;hp=0dbf3d4e5466aa7b897727e91215db82995711f3;hpb=2d083a4b629d92d29b08deef86def56633866f31;p=calu.git diff --git a/cpu/src/r_w_ram_b.vhd b/cpu/src/r_w_ram_b.vhd index 0dbf3d4..14cc3be 100644 --- a/cpu/src/r_w_ram_b.vhd +++ b/cpu/src/r_w_ram_b.vhd @@ -12,7 +12,7 @@ architecture behaviour of r_w_ram is -- r0 = 0, r1 = 1, r2 = 3, r3 = A - signal ram : RAM_TYPE := ( 0 => "11101011000000000000000000010111", -- call +1 + signal ram : RAM_TYPE := ( 0 => "11101011000000000000000010000101", -- call +1 1 => "11101101000010000000000000111000", -- r1 = 7 2 => "11101101000100000000000000101000", -- r2 = 5