X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2Fmem_pkg.vhd;h=c8ab2b8e0cf19fd2adcfd324770f42f8596a982c;hb=b333da851dda456b48f2a2535c4aeba143c657e1;hp=72ecb58f66ddd896025c2f0556fe1140142b4e36;hpb=f8e429a38c3349e4b5578c367e5494a30b42e662;p=calu.git diff --git a/cpu/src/mem_pkg.vhd b/cpu/src/mem_pkg.vhd index 72ecb58..c8ab2b8 100644 --- a/cpu/src/mem_pkg.vhd +++ b/cpu/src/mem_pkg.vhd @@ -23,6 +23,25 @@ package mem_pkg is data_out: out std_logic_vector(DATA_WIDTH-1 downto 0) ); end component r_w_ram; + + component rom is + generic ( + ADDR_WIDTH : integer range 1 to integer'high; + DATA_WIDTH : integer range 1 to integer'high + ); + port( + --System inputs + clk : in std_logic; + --Input + wr_addr, rd_addr : in std_logic_vector(ADDR_WIDTH-1 downto 0); + + wr_en : in std_logic; + data_in : in std_logic_vector(DATA_WIDTH-1 downto 0); + + --Output + data_out: out std_logic_vector(DATA_WIDTH-1 downto 0) + ); + end component rom; component r2_w_ram is generic (