X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2Ffetch_stage_b.vhd;h=7409e426989e699a755b92f03fd4ae3774919bae;hb=d1e680464f7b7147dc4b435a27973621fd69f065;hp=67dde3cc764e392975ca730a19f9f76ad68f1130;hpb=da7281936586577a2bcc09269a22bade1f9db251;p=calu.git diff --git a/cpu/src/fetch_stage_b.vhd b/cpu/src/fetch_stage_b.vhd index 67dde3c..7409e42 100644 --- a/cpu/src/fetch_stage_b.vhd +++ b/cpu/src/fetch_stage_b.vhd @@ -57,12 +57,16 @@ begin end if; if (alu_jump_bit = LOGIC_ACT) then - instr_r_addr_nxt <= jump_result; + instr_r_addr_nxt <= jump_result; + instruction(31 downto 28) <= "1111"; elsif (branch_prediction_bit = LOGIC_ACT) then instr_r_addr_nxt <= prediction_result; end if; end process; +prog_cnt(10 downto 0) <= std_logic_vector(unsigned(instr_r_addr(PHYS_INSTR_ADDR_WIDTH-1 downto 0))); +prog_cnt(31 downto 11) <= (others => '0'); + end behav;