X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2Ffetch_stage.vhd;h=c23189ce1d3736fea9543aa98e67135eedc52f9f;hb=a37bfd1075f405931099ba5cc347b3954855675e;hp=e77cfbf90ef8d5ae3ff14c3d451bd715baa0f6c6;hpb=8173b5fa1ed9ea2a213f8f23ee9ed92869203884;p=calu.git diff --git a/cpu/src/fetch_stage.vhd b/cpu/src/fetch_stage.vhd index e77cfbf..c23189c 100644 --- a/cpu/src/fetch_stage.vhd +++ b/cpu/src/fetch_stage.vhd @@ -18,16 +18,24 @@ entity fetch_stage is --System inputs clk : in std_logic; reset : in std_logic; + s_reset : in std_logic; --Data inputs jump_result : in instruction_addr_t; prediction_result : in instruction_addr_t; branch_prediction_bit : in std_logic; alu_jump_bit : in std_logic; + int_req : in interrupt_t; + -- instruction memory program port :D + new_im_data_in : in std_logic; + im_addr : in gp_register_t; + im_data : in gp_register_t; --Data outputs instruction : out instruction_word_t; - prog_cnt : out instruction_addr_t + prog_cnt : out instruction_addr_t; + -- debug + led2 : out std_logic ); end fetch_stage;