X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2Ffetch_stage.vhd;fp=cpu%2Fsrc%2Ffetch_stage.vhd;h=e77cfbf90ef8d5ae3ff14c3d451bd715baa0f6c6;hb=8173b5fa1ed9ea2a213f8f23ee9ed92869203884;hp=5713c02b0478b9f69a2607a7115e440631ecbad2;hpb=37835209871e507ea3956c9fd616a6546b39d991;p=calu.git diff --git a/cpu/src/fetch_stage.vhd b/cpu/src/fetch_stage.vhd index 5713c02..e77cfbf 100644 --- a/cpu/src/fetch_stage.vhd +++ b/cpu/src/fetch_stage.vhd @@ -26,7 +26,8 @@ entity fetch_stage is alu_jump_bit : in std_logic; --Data outputs - instruction : out instruction_word_t + instruction : out instruction_word_t; + prog_cnt : out instruction_addr_t ); end fetch_stage;