X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2Ffetch_stage.vhd;fp=cpu%2Fsrc%2Ffetch_stage.vhd;h=cefd8e96ee9dfb8b2dccb978c3a3c86e6ac0890c;hb=1a2e51983b5e25fec44b1ef9b1f61f22dce19afa;hp=d472d531feabde28a7e40b8b8e6db754ed1db079;hpb=8558b10449023bd73fafd703e7c3cddff012cb8e;p=calu.git diff --git a/cpu/src/fetch_stage.vhd b/cpu/src/fetch_stage.vhd index d472d53..cefd8e9 100644 --- a/cpu/src/fetch_stage.vhd +++ b/cpu/src/fetch_stage.vhd @@ -32,7 +32,9 @@ entity fetch_stage is --Data outputs instruction : out instruction_word_t; - prog_cnt : out instruction_addr_t + prog_cnt : out instruction_addr_t; + -- debug + led2 : out std_logic ); end fetch_stage;