X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2Fextension_uart_pkg.vhd;h=49b46eca3a320157e288a9cb0154b04bdf8686d2;hb=a70afaae294cdb93b51ca938ddf18e2ce88f0451;hp=2a4ca4b1ca2e24c187e0dfab761902dccefeab6b;hpb=7c3ad29b6f9c582c6fcb2e1c4701ad22a751c4b1;p=calu.git diff --git a/cpu/src/extension_uart_pkg.vhd b/cpu/src/extension_uart_pkg.vhd index 2a4ca4b..49b46ec 100644 --- a/cpu/src/extension_uart_pkg.vhd +++ b/cpu/src/extension_uart_pkg.vhd @@ -22,7 +22,8 @@ subtype baud_rate_l is std_logic_vector(BAUD_RATE_WIDTH-1 downto 0); --constant CLK_FREQ_MHZ : real := 33.33; --constant BAUD_RATE : integer := 115200; --constant CLK_PER_BAUD : integer := integer((CLK_FREQ_MHZ * 1000000.0) / real(BAUD_RATE) - 0.5); -constant CLK_PER_BAUD : integer := 434; +-- constant CLK_PER_BAUD : integer := 434; +constant CLK_PER_BAUD : integer := 173; -- @uni, bei 20MHz und 115200 Baud component extension_uart is --some modules won't need all inputs/outputs