X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2Fextension_pkg.vhd;fp=cpu%2Fsrc%2Fextension_pkg.vhd;h=cf50bafd69ba21ad1f8447432ac43d2d7a7f67e3;hb=a0d00315e885eff5fb171ad47de60106435a7a2b;hp=af6ae700ea1a55aa1bbae402937b29bb01b40377;hpb=8c7304a885147db8be7e5014c3f9bbd162e68a11;p=calu.git diff --git a/cpu/src/extension_pkg.vhd b/cpu/src/extension_pkg.vhd index af6ae70..cf50baf 100644 --- a/cpu/src/extension_pkg.vhd +++ b/cpu/src/extension_pkg.vhd @@ -33,9 +33,29 @@ constant EXT_EXTMEM_ADDR: ext_addrid_t := x"FFFFFFB"; constant EXT_TIMER_ADDR: ext_addrid_t := x"FFFFFFC"; constant EXT_AC97_ADDR: ext_addrid_t := x"FFFFFFD"; constant EXT_UART_ADDR: ext_addrid_t := x"FFFFFFE"; -constant EXT_GPM_ADDR: ext_addrid_t := x"FFFFFFF"; - - +constant EXT_GPMP_ADDR: ext_addrid_t := x"FFFFFFF"; + + component extension is + --some modules won't need all inputs/outputs + generic ( + -- active reset value + RESET_VALUE : std_logic + ); + port( + --System inputs + clk : in std_logic; + reset : in std_logic; + -- Input + ext_reg : in extmod_rec; + pointer : in pointer_count; + dec_inc : in std_logic; + p_en : in std_logic; + -- Ouput + data_out : out gp_register_t; + pointer_val : out gp_register_t + + ); + end component extension;