X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2Fextension_b.vhd;h=46decaf833ef9e78c51a09787f9bfae85984be2f;hb=023418808afb411268c084fbed0de10838144063;hp=8ffb46a2a21444ca5352a1557e1a2ed9ea332ca5;hpb=f21576a74efd6f1c102d12fbba6abea6967d7dca;p=calu.git diff --git a/cpu/src/extension_b.vhd b/cpu/src/extension_b.vhd index 8ffb46a..46decaf 100644 --- a/cpu/src/extension_b.vhd +++ b/cpu/src/extension_b.vhd @@ -33,8 +33,8 @@ end process syn; asyn : process (clk, reset, reg, psw_nxt, ext_reg, pwr_en, pinc, paddr) variable reg_nxt_v : gpm_internal; - variable incb : gp_register_t; - variable sel_pval : std_logic_vector(reg.preg(0)'range); + variable incb : ext_addr_t; + variable sel_pval : ext_addr_t; variable data_out_v : gp_register_t; variable data_v : gp_register_t;