X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2Fextension_7seg_b.vhd;h=a78da17d9339f43a4a09ddacaa2714d60d606267;hb=06700211ba6dd1d05e96f574aaf34f44ae901cb7;hp=0e58cca78a7924b6c4cd4836f41b06742823407b;hpb=8dd0b1556d1726952e776954a6b2ca9ae357491c;p=calu.git diff --git a/cpu/src/extension_7seg_b.vhd b/cpu/src/extension_7seg_b.vhd index 0e58cca..a78da17 100755 --- a/cpu/src/extension_7seg_b.vhd +++ b/cpu/src/extension_7seg_b.vhd @@ -45,27 +45,23 @@ end process; seg_asyn: process(s_state, ext_reg_r) -variable tmp_data : byte_t; - begin s_state_nxt <= s_state; - tmp_data := (others =>'0'); if ext_reg_r.sel = '1' and ext_reg_r.wr_en = '1' then - tmp_data(byte_t'range) :=ext_reg_r.data(byte_t'range); - - s_state_nxt.digit0 <= digit_decode('0' & ext_reg_r.data(3 downto 0)); - s_state_nxt.digit1 <= digit_decode('0' & ext_reg_r.data(7 downto 4)); - s_state_nxt.digit2 <= digit_decode('0' & ext_reg_r.data(11 downto 8)); - s_state_nxt.digit3 <= digit_decode('0' & ext_reg_r.data(15 downto 12)); case ext_reg_r.byte_en(1 downto 0) is - when "01" => s_state_nxt.digit3 <= digit_decode("11111"); when "00" => null; - when "10" => null; - when "11" => null; - when others => null; + s_state_nxt.digit0 <= digit_decode('0' & ext_reg_r.data(3 downto 0)); + s_state_nxt.digit1 <= digit_decode('0' & ext_reg_r.data(7 downto 4)); + s_state_nxt.digit2 <= digit_decode('0' & ext_reg_r.data(11 downto 8)); + s_state_nxt.digit3 <= digit_decode('0' & ext_reg_r.data(15 downto 12)); + when others => + s_state_nxt.digit0 <= (others => '1'); + s_state_nxt.digit1 <= (others => '1'); + s_state_nxt.digit2 <= (others => '1'); + s_state_nxt.digit3 <= (others => '1'); end case;