X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2Fexecute_stage_b.vhd;h=73c7bf27e638d8cc0a063306cf22117f82f80d5f;hb=d3e96fef52bbd1c7fc4acf85561e9a4874016283;hp=4d69cee026749452d225597e6c1d981d33d5934f;hpb=ac20f90b4c246e11b0c7f399c53b7adb9b113042;p=calu.git diff --git a/cpu/src/execute_stage_b.vhd b/cpu/src/execute_stage_b.vhd index 4d69cee..73c7bf2 100644 --- a/cpu/src/execute_stage_b.vhd +++ b/cpu/src/execute_stage_b.vhd @@ -77,7 +77,7 @@ begin end process asyn; -forward: process(regfile_val, reg_we, reg_addr, dec_instr.src1,dec_instr.src2) +forward: process(regfile_val, reg_we, reg_addr, dec_instr) begin left_operand <= dec_instr.src1; right_operand <= dec_instr.src2; @@ -98,9 +98,13 @@ alu_jump <= reg.alu_jump; brpr <= reg.brpr; wr_en <= reg.wr_en; dmem <= alu_nxt.mem_op; +--dmem <= reg.result(4); dmem_write_en <= alu_nxt.mem_en; +--dmem_write_en <= reg.result(0); +--dmem_write_en <= '1'; hword <= alu_nxt.hw_op; +--hword <= reg.result(1); byte_s <= alu_nxt.byte_op; - +--byte_s <= reg.result(2); end behav;