X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2Fexecute_stage_b.vhd;fp=cpu%2Fsrc%2Fexecute_stage_b.vhd;h=6b6b6215aa79f3ff22518d3566529e26bde35ce8;hb=830d494c7fbbd0591e185378d8cf8085673e6b8c;hp=0000000000000000000000000000000000000000;hpb=3243375cd7bdeccefc6fc3d2372260aac65c4d13;p=calu.git diff --git a/cpu/src/execute_stage_b.vhd b/cpu/src/execute_stage_b.vhd new file mode 100644 index 0000000..6b6b621 --- /dev/null +++ b/cpu/src/execute_stage_b.vhd @@ -0,0 +1,25 @@ +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.numeric_std.all; + +use work.core_pkg.all; + +architecture behav of execute_stage is + + +begin + +syn: process(sys_clk, reset) + +begin + + if (reset = RESET_VALUE) then + + elsif rising_edge(sys_clk) then + + end if; + +end process; + +end behav; +