X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2Fexec_op%2For_op_b.vhd;fp=cpu%2Fsrc%2Fexec_op%2For_op_b.vhd;h=fbe1ec862baa64c36b880100c559b1cdd91dfa14;hb=b9d8ef6cdcd9cacadb75193ad9a44d1d821320ce;hp=0000000000000000000000000000000000000000;hpb=de66b79911db60cac2daf3a9ef53db1538467382;p=calu.git diff --git a/cpu/src/exec_op/or_op_b.vhd b/cpu/src/exec_op/or_op_b.vhd new file mode 100755 index 0000000..fbe1ec8 --- /dev/null +++ b/cpu/src/exec_op/or_op_b.vhd @@ -0,0 +1,22 @@ +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.numeric_std.all; + +use work.common_pkg.all; +use work.alu_pkg.all; + +architecture or_op of exec_op is +begin + +calc: process(left_operand, right_operand, alu_state) + variable alu_result_v : alu_result_rec; + +begin + alu_result_v := alu_state; + + alu_result_v.result := left_operand or right_operand; + + alu_result <= alu_result_v; +end process; + +end architecture or_op;