X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2Fdecode_stage_b.vhd;fp=cpu%2Fsrc%2Fdecode_stage_b.vhd;h=9bd9d8139f8a12c86d6acf56477030170d2328b4;hb=0c7231e471031c7d03f241dc4970b622fc64c695;hp=60cc287c509d231900a6aaad0ccaa16a4e3f9d6a;hpb=3d489ff42261e4d7c23b1877b95c0581c7691369;p=calu.git diff --git a/cpu/src/decode_stage_b.vhd b/cpu/src/decode_stage_b.vhd index 60cc287..9bd9d81 100644 --- a/cpu/src/decode_stage_b.vhd +++ b/cpu/src/decode_stage_b.vhd @@ -163,7 +163,7 @@ begin rtw_rec_nxt.reg1_addr <= instr_spl.reg_src1_addr; rtw_rec_nxt.reg2_addr <= instr_spl.reg_src2_addr; - if (instr_spl.op_detail(IMM_OPT) = '1') then + if (instr_spl.op_detail(IMM_OPT) = '1') then -- or instr_spl.op_group = LDST_OP rtw_rec_nxt.immediate <= instr_spl.immediate; rtw_rec_nxt.imm_set <= '1'; end if;