X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2Fcore_pkg.vhd;h=bc45ecdf0aa408c577e33eb6976bba783572be13;hb=1a2e51983b5e25fec44b1ef9b1f61f22dce19afa;hp=c27a5a0ca32c93295b79258dbc6add5023bb8d22;hpb=8558b10449023bd73fafd703e7c3cddff012cb8e;p=calu.git diff --git a/cpu/src/core_pkg.vhd b/cpu/src/core_pkg.vhd index c27a5a0..bc45ecd 100644 --- a/cpu/src/core_pkg.vhd +++ b/cpu/src/core_pkg.vhd @@ -33,7 +33,9 @@ package core_pkg is --Data outputs instruction : out instruction_word_t; - prog_cnt : out instruction_addr_t + prog_cnt : out instruction_addr_t; + -- debug + led2 : out std_logic ); end component fetch_stage;