X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2Fcore_pkg.vhd;h=9731f37592de2f49322534d87c8968b0f6da25a3;hb=ea11b8a1f00f62aed7584f257f0a8a90e982a707;hp=4d318708cdfd760e055dd5c922bac765ca92ca2a;hpb=f4f734f50124027f0371a3cb5f4de18353692235;p=calu.git diff --git a/cpu/src/core_pkg.vhd b/cpu/src/core_pkg.vhd index 4d31870..9731f37 100644 --- a/cpu/src/core_pkg.vhd +++ b/cpu/src/core_pkg.vhd @@ -4,6 +4,7 @@ use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.common_pkg.all; +use work.extension_pkg.all; package core_pkg is @@ -19,16 +20,23 @@ package core_pkg is --System inputs clk : in std_logic; reset : in std_logic; + s_reset : in std_logic; --Data inputs jump_result : in instruction_addr_t; prediction_result : in instruction_addr_t; branch_prediction_bit : in std_logic; alu_jump_bit : in std_logic; + int_req : in interrupt_t; + new_im_data_in : in std_logic; + im_addr : in gp_register_t; + im_data : in gp_register_t; --Data outputs instruction : out instruction_word_t; - prog_cnt : out instruction_addr_t + prog_cnt : out instruction_addr_t; + -- debug + led2 : out std_logic ); end component fetch_stage; @@ -118,8 +126,9 @@ package core_pkg is -- active reset value RESET_VALUE : std_logic; -- active logic value - LOGIC_ACT : std_logic - + LOGIC_ACT : std_logic; + FPGATYPE : string; + CLK_BAUD : integer ); port( --System inputs @@ -142,7 +151,21 @@ package core_pkg is reg_we : out std_logic; reg_addr : out gp_addr_t; jump_addr : out instruction_addr_t; - jump : out std_logic + jump : out std_logic; + -- same here + bus_tx : out std_logic; + bus_rx : in std_logic; + new_im_data_out : out std_logic; + im_addr : out gp_register_t; + im_data : out gp_register_t; + + sseg0 : out std_logic_vector(0 to 6); + sseg1 : out std_logic_vector(0 to 6); + sseg2 : out std_logic_vector(0 to 6); + sseg3 : out std_logic_vector(0 to 6); + + int_req : out interrupt_t + ); end component writeback_stage;