X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2Fcore_pkg.vhd;h=8f24a135ef667ddd6388388fc5608c4f3d16ca7a;hb=0ba0c7effbaf554ad42db51334581859a0570bfa;hp=d3aeb3f97268053b17ac911f069f550751cf8e41;hpb=b333da851dda456b48f2a2535c4aeba143c657e1;p=calu.git diff --git a/cpu/src/core_pkg.vhd b/cpu/src/core_pkg.vhd index d3aeb3f..8f24a13 100644 --- a/cpu/src/core_pkg.vhd +++ b/cpu/src/core_pkg.vhd @@ -20,16 +20,23 @@ package core_pkg is --System inputs clk : in std_logic; reset : in std_logic; + s_reset : in std_logic; --Data inputs jump_result : in instruction_addr_t; prediction_result : in instruction_addr_t; branch_prediction_bit : in std_logic; alu_jump_bit : in std_logic; + int_req : in interrupt_t; + new_im_data_in : in std_logic; + im_addr : in gp_register_t; + im_data : in gp_register_t; --Data outputs instruction : out instruction_word_t; - prog_cnt : out instruction_addr_t + prog_cnt : out instruction_addr_t; + -- debug + led2 : out std_logic ); end component fetch_stage; @@ -59,7 +66,7 @@ package core_pkg is --Data outputs -- reg1_rd_data : out gp_register_t; -- reg2_rd_data : out gp_register_t; - branch_prediction_res : out instruction_word_t; + branch_prediction_res : out instruction_addr_t; branch_prediction_bit : out std_logic; to_next_stage : out dec_op @@ -119,8 +126,9 @@ package core_pkg is -- active reset value RESET_VALUE : std_logic; -- active logic value - LOGIC_ACT : std_logic - + LOGIC_ACT : std_logic; + FPGATYPE : string; + CLK_BAUD : integer ); port( --System inputs @@ -146,11 +154,18 @@ package core_pkg is jump : out std_logic; -- same here bus_tx : out std_logic; + bus_rx : in std_logic; + new_im_data_out : out std_logic; + im_addr : out gp_register_t; + im_data : out gp_register_t; sseg0 : out std_logic_vector(0 to 6); sseg1 : out std_logic_vector(0 to 6); sseg2 : out std_logic_vector(0 to 6); - sseg3 : out std_logic_vector(0 to 6) + sseg3 : out std_logic_vector(0 to 6); + + int_req : out interrupt_t + ); end component writeback_stage;