X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2Fcore_pkg.vhd;fp=cpu%2Fsrc%2Fcore_pkg.vhd;h=d3aeb3f97268053b17ac911f069f550751cf8e41;hb=b333da851dda456b48f2a2535c4aeba143c657e1;hp=0072827490c593cda242776e3ada5b1acd0e565c;hpb=f8e429a38c3349e4b5578c367e5494a30b42e662;p=calu.git diff --git a/cpu/src/core_pkg.vhd b/cpu/src/core_pkg.vhd index 0072827..d3aeb3f 100644 --- a/cpu/src/core_pkg.vhd +++ b/cpu/src/core_pkg.vhd @@ -145,7 +145,12 @@ package core_pkg is jump_addr : out instruction_addr_t; jump : out std_logic; -- same here - bus_tx : out std_logic + bus_tx : out std_logic; + + sseg0 : out std_logic_vector(0 to 6); + sseg1 : out std_logic_vector(0 to 6); + sseg2 : out std_logic_vector(0 to 6); + sseg3 : out std_logic_vector(0 to 6) ); end component writeback_stage;