X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2Fcore_pkg.vhd;fp=cpu%2Fsrc%2Fcore_pkg.vhd;h=828f61031ac21ec9b8340ff8a826b0c4135f2794;hb=8173b5fa1ed9ea2a213f8f23ee9ed92869203884;hp=820a16aeff57f8f6c41501a2fb32a1ba478ca7d7;hpb=37835209871e507ea3956c9fd616a6546b39d991;p=calu.git diff --git a/cpu/src/core_pkg.vhd b/cpu/src/core_pkg.vhd index 820a16a..828f610 100644 --- a/cpu/src/core_pkg.vhd +++ b/cpu/src/core_pkg.vhd @@ -19,7 +19,7 @@ package core_pkg is --System inputs clk : in std_logic; reset : in std_logic; - + --Data inputs jump_result : in instruction_addr_t; prediction_result : in instruction_addr_t; @@ -27,8 +27,8 @@ package core_pkg is alu_jump_bit : in std_logic; --Data outputs - instruction : out instruction_word_t - + instruction : out instruction_word_t; + prog_cnt : out instruction_addr_t ); end component fetch_stage; @@ -49,6 +49,7 @@ package core_pkg is --Data inputs instruction : in instruction_word_t; + prog_cnt : in instruction_addr_t; reg_w_addr : in std_logic_vector(REG_ADDR_WIDTH-1 downto 0); reg_wr_data : in gp_register_t; reg_we : in std_logic; @@ -59,6 +60,7 @@ package core_pkg is -- reg2_rd_data : out gp_register_t; branch_prediction_res : out instruction_word_t; branch_prediction_bit : out std_logic; + to_next_stage : out dec_op ); end component decode_stage;