X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2Fcommon_pkg.vhd;h=1dca19632fab15a7625d759cf73a44a7c598eb4d;hb=df7d337b1f8769f7546d49efea4202d8fc3ddf75;hp=9398fb2cc705aff06990e5a76dca8578ef43a363;hpb=b9d8ef6cdcd9cacadb75193ad9a44d1d821320ce;p=calu.git diff --git a/cpu/src/common_pkg.vhd b/cpu/src/common_pkg.vhd index 9398fb2..1dca196 100755 --- a/cpu/src/common_pkg.vhd +++ b/cpu/src/common_pkg.vhd @@ -35,7 +35,7 @@ package common_pkg is subtype instruction_word_t is std_logic_vector(WORD_WIDTH-1 downto 0); subtype instruction_addr_t is std_logic_vector(INSTR_ADDR_WIDTH-1 downto 0); - subtype gp_addr_t is unsigned(REG_ADDR_WIDTH-1 downto 0); + subtype gp_addr_t is std_logic_vector(REG_ADDR_WIDTH-1 downto 0); subtype data_ram_word_t is std_logic_vector(WORD_WIDTH-1 downto 0); subtype data_ram_addr_t is std_logic_vector(DATA_ADDR_WIDTH-1 downto 0); @@ -79,6 +79,8 @@ package common_pkg is carry, sreg_update, high_low, fill, signext, bp, arith, left_right : std_logic; + op_detail : op_pot_t; + end record; @@ -87,6 +89,7 @@ package common_pkg is rtw_reg : gp_register_t; rtw_reg1 : std_logic; rtw_reg2 : std_logic; + immediate : gp_register_t; end record;