X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2Fcommon_pkg.vhd;h=03e61cd8c39c07cfa79967462c103d42d80fd625;hb=37835209871e507ea3956c9fd616a6546b39d991;hp=dd6350c8a020a5508e153033b99ed10aa185ed41;hpb=9b9e39f3672127dd87ea9dd022bddb3df3c2bef3;p=calu.git diff --git a/cpu/src/common_pkg.vhd b/cpu/src/common_pkg.vhd index dd6350c..03e61cd 100755 --- a/cpu/src/common_pkg.vhd +++ b/cpu/src/common_pkg.vhd @@ -52,14 +52,15 @@ package common_pkg is constant ARITH_OPT : integer := 1; constant CARRY_OPT : integer := 2; - constant ST_OPT : integer := 2; constant RIGHT_OPT : integer := 3; + constant JMP_REG_OPT : integer := 3; + constant ST_OPT : integer := 3; constant NO_PSW_OPT : integer := 4;--no sharing constant NO_DST_OPT : integer := 5; --no sharing - type op_info_t is (ADDSUB_OP,AND_OP,OR_OP, XOR_OP,SHIFT_OP, LDST_OP); + type op_info_t is (ADDSUB_OP,AND_OP,OR_OP, XOR_OP,SHIFT_OP, LDST_OP, JMP_OP, JMP_ST_OP); subtype op_opt_t is std_logic_vector(NUM_OP_OPT_WIDTH-1 downto 0); @@ -106,6 +107,7 @@ package common_pkg is brpr : std_logic; displacement : gp_register_t; + prog_cnt : instr_addr_t; src1 : gp_register_t; src2 : gp_register_t;