X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2Fcommon_pkg.vhd;fp=cpu%2Fsrc%2Fcommon_pkg.vhd;h=8d3ac51d545c5283e9d5a6c935c21785f3f67d92;hb=b9778f6feb7109647a067796939a985572ed0ee3;hp=ba8d1a63da30d2cf79c14ca77f82a5afd39608b1;hpb=187d20b4c2e9b6aa3a79d9cd19aa0204de9733db;p=calu.git diff --git a/cpu/src/common_pkg.vhd b/cpu/src/common_pkg.vhd index ba8d1a6..8d3ac51 100755 --- a/cpu/src/common_pkg.vhd +++ b/cpu/src/common_pkg.vhd @@ -74,6 +74,8 @@ package common_pkg is immediate : std_logic_vector(WORD_WIDTH-1 downto 0); + displacement : gp_register_t; + jmptype : std_logic_vector(1 downto 0); high_low, fill, signext, bp: std_logic; @@ -101,6 +103,8 @@ package common_pkg is op_group : op_info_t; op_detail : op_opt_t; brpr : std_logic; + + displacement : gp_register_t; src1 : gp_register_t; src2 : gp_register_t;