X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2Falu_pkg.vhd;h=f1c99dc317aae28d2233df2158e8c99407147c86;hb=37835209871e507ea3956c9fd616a6546b39d991;hp=16c1099ace925b32aefa2dda682b4e6398200557;hpb=85357ec6c5001abd8dddc903bbc688a5a9d200ef;p=calu.git diff --git a/cpu/src/alu_pkg.vhd b/cpu/src/alu_pkg.vhd index 16c1099..f1c99dc 100755 --- a/cpu/src/alu_pkg.vhd +++ b/cpu/src/alu_pkg.vhd @@ -85,6 +85,7 @@ package alu_pkg is left_operand : in gp_register_t; right_operand : in gp_register_t; displacement : in gp_register_t; + prog_cnt : in instr_addr_t; op_detail : in op_opt_t; alu_state : in alu_result_rec; alu_result : out alu_result_rec;