X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2Falu_pkg.vhd;h=bb15c6a27324f08d92721a6f1479fd863aa971e0;hb=d1e680464f7b7147dc4b435a27973621fd69f065;hp=16c1099ace925b32aefa2dda682b4e6398200557;hpb=9896a1680271045c32bfd4a93c88003c86b85822;p=calu.git diff --git a/cpu/src/alu_pkg.vhd b/cpu/src/alu_pkg.vhd index 16c1099..bb15c6a 100755 --- a/cpu/src/alu_pkg.vhd +++ b/cpu/src/alu_pkg.vhd @@ -85,6 +85,8 @@ package alu_pkg is left_operand : in gp_register_t; right_operand : in gp_register_t; displacement : in gp_register_t; + prog_cnt : in instr_addr_t; + brpr : in std_logic; op_detail : in op_opt_t; alu_state : in alu_result_rec; alu_result : out alu_result_rec;