X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2Falu_pkg.vhd;fp=cpu%2Fsrc%2Falu_pkg.vhd;h=7a9564e913149c6f0b4c25a407f75234b3d5c5df;hb=ac25724c599f80d5b8c83eea332daa78aa767e8a;hp=bb15c6a27324f08d92721a6f1479fd863aa971e0;hpb=6750eea5f750d928426114047ff342256a4fccc6;p=calu.git diff --git a/cpu/src/alu_pkg.vhd b/cpu/src/alu_pkg.vhd index bb15c6a..7a9564e 100755 --- a/cpu/src/alu_pkg.vhd +++ b/cpu/src/alu_pkg.vhd @@ -15,6 +15,10 @@ package alu_pkg is sign : std_logic; carry : std_logic; end record; + + constant PADDR_WIDTH : integer := 2; + type pointers_t is array(0 to 2**PADDR_WIDTH-1) of gp_register_t; + subtype paddr_t is std_logic_vector(PADDR_WIDTH-1 downto 0); subtype status_t is byte_t; --type alu_interal_rec is record @@ -26,14 +30,12 @@ package alu_pkg is result_addr : gp_addr_t; status : status_rec; - --stackpointer : gp_register_t; alu_jump : std_logic; brpr : std_logic; reg_op : std_logic; mem_op : std_logic; - --new_val : std_logic; mem_en : std_logic; hw_op : std_logic;