X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2Falu_pkg.vhd;fp=cpu%2Fsrc%2Falu_pkg.vhd;h=13285d9eb3e461f2463018c83a39a030f6ff986d;hb=9b61bb3513cd762f9714fb94ab434b4cbc616ea3;hp=99b4655047b699dc4be8a2afad494800359523d5;hpb=381f0449828b8451fbbe78878816a6156b078bf6;p=calu.git diff --git a/cpu/src/alu_pkg.vhd b/cpu/src/alu_pkg.vhd index 99b4655..13285d9 100755 --- a/cpu/src/alu_pkg.vhd +++ b/cpu/src/alu_pkg.vhd @@ -42,7 +42,7 @@ package alu_pkg is end record alu_result_rec; - constant SHIFT_WIDTH : integer := log2c(gp_register_t'length); + constant SHIFT_WIDTH : integer := 4; --log2c(gp_register_t'length); constant COND_ZERO : condition_t := "0001"; constant COND_NZERO : condition_t := "0000";