X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsrc%2Falu.vhd;fp=cpu%2Fsrc%2Falu.vhd;h=8928ece1cc6a76f220f83bc4b028632cb8de1db5;hb=0400376e8079507732ceef10581239444caabb65;hp=b94421acee0b5df956606d73b5914eca019cb654;hpb=df7d337b1f8769f7546d49efea4202d8fc3ddf75;p=calu.git diff --git a/cpu/src/alu.vhd b/cpu/src/alu.vhd index b94421a..8928ece 100755 --- a/cpu/src/alu.vhd +++ b/cpu/src/alu.vhd @@ -13,7 +13,7 @@ entity alu is clk : in std_logic; reset : in std_logic; --operation inputs - condition : condition_t; + condition : in condition_t; op_group : in op_info_t; left_operand : in gp_register_t; right_operand : in gp_register_t;