X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;f=cpu%2Fsim%2Ftestcore1.do;h=f2e2b79dabcb364f87f1a505f8b6ab04c00e8467;hb=61ccae8df4f138fd753bd1e5e7e8b8f25159d969;hp=e32ebbce95c5779c7b93f2021ac2a35979f3e362;hpb=246225958048ebe8e8207bcade48e14a5fca0e79;p=calu.git diff --git a/cpu/sim/testcore1.do b/cpu/sim/testcore1.do index e32ebbc..f2e2b79 100644 --- a/cpu/sim/testcore1.do +++ b/cpu/sim/testcore1.do @@ -2,11 +2,16 @@ vlib work vmap work work vcom -work work ../src/mem_pkg.vhd +vcom -work work ../src/rom.vhd +vcom -work work ../src/rom_b.vhd vcom -work work ../src/r_w_ram.vhd +vcom -work work ../src/r_w_ram_be.vhd +vcom -work work ../src/r_w_ram_be_b.vhd vcom -work work ../src/r_w_ram_b.vhd vcom -work work ../src/r2_w_ram.vhd vcom -work work ../src/r2_w_ram_b.vhd vcom -work work ../src/common_pkg.vhd +vcom -work work ../src/extension_pkg.vhd vcom -work work ../src/core_pkg.vhd vcom -work work ../src/decoder.vhd vcom -work work ../src/decoder_b.vhd @@ -16,8 +21,7 @@ vcom -work work ../src/decode_stage.vhd vcom -work work ../src/decode_stage_b.vhd vcom -work work ../src/alu_pkg.vhd -vcom -work work ../src/extension_pkg.vhd -vcom -work work ../src/gpm_pkg.vhd + vcom -work work ../src/exec_op.vhd vcom -work work ../src/exec_op/add_op_b.vhd @@ -32,6 +36,27 @@ vcom -work work ../src/alu_b.vhd vcom -work work ../src/gpm.vhd vcom -work work ../src/gpm_b.vhd +vcom -work work ../src/extension_pkg.vhd +vcom -work work ../src/extension.vhd +vcom -work work ../src/extension_b.vhd + + +vcom -work work ../src/extension_imp_pkg.vhd +vcom -work work ../src/extension_imp.vhd +vcom -work work ../src/extension_imp_b.vhd + +vcom -work work ../src/extension_7seg_pkg.vhd +vcom -work work ../src/extension_7seg.vhd +vcom -work work ../src/extension_7seg_b.vhd + +vcom -work work ../src/extension_uart_pkg.vhd +vcom -work work ../src/rs232_tx.vhd +vcom -work work ../src/rs232_tx_arc.vhd +vcom -work work ../src/rs232_rx.vhd +vcom -work work ../src/rs232_rx_arc.vhd +vcom -work work ../src/extension_uart.vhd +vcom -work work ../src/extension_uart_b.vhd + vcom -work work ../src/execute_stage.vhd vcom -work work ../src/execute_stage_b.vhd @@ -51,6 +76,7 @@ add wave -group fetchstage -radix hexadecimal /pipeline_tb/fetch_st/instr_r_add add wave -group fetchstage -radix hexadecimal /pipeline_tb/fetch_st/instr_rd_data add wave -group fetchstageregister -radix hexadecimal /pipeline_tb/fetch_st/instruction add wave -group fetchstage -format logic /pipeline_tb/fetch_st/branch_prediction_bit +add wave -group fetchstage -format logic /pipeline_tb/fetch_st/rom_ram add wave -group fetchstage -radix hexadecimal /pipeline_tb/fetch_st/prediction_result add wave -group decodestage -radix hexadecimal /pipeline_tb/decode_st/instruction @@ -94,7 +120,7 @@ add wave -group execstage -radix hexadecimal /pipeline_tb/exec_st/alu_inst/righ add wave -group execstage -radix hexadecimal /pipeline_tb/exec_st/reg_nxt -add wave -group execstageregister -radix hexadecimal /pipeline_tb/exec_st/gpm_inst/psw +add wave -group execstageregister -radix hexadecimal /pipeline_tb/exec_st/gpmp_inst/psw add wave -group execstageregister -radix hexadecimal /pipeline_tb/exec_st/reg add wave -group writebackstage -radix hexadecimal /pipeline_tb/writeback_st/result add wave -group writebackstage -radix hexadecimal /pipeline_tb/writeback_st/result_addr @@ -107,4 +133,25 @@ add wave -group writebackstageregister -radix hexadecimal /pipeline_tb/writebac add wave -group writebackstageregister -radix hexadecimal /pipeline_tb/writeback_st/reg_addr add wave -group writebackstageregister -radix hexadecimal /pipeline_tb/writeback_st/regfile_val -run 5000 ns +add wave -group test -radix hexadecimal /pipeline_tb/writeback_st/uart/bus_rx +add wave -group test -radix hexadecimal /pipeline_tb/writeback_st/uart/bus_tx +add wave -group test -radix hexadecimal /pipeline_tb/writeback_st/uart/w1_st_co +add wave -group test -radix hexadecimal /pipeline_tb/writeback_st/uart/w1_st_co_nxt +add wave -group test -radix hexadecimal /pipeline_tb/writeback_st/uart/w2_uart_config +add wave -group test -radix hexadecimal /pipeline_tb/writeback_st/uart/w3_uart_send +add wave -group test -radix hexadecimal /pipeline_tb/writeback_st/uart/w4_uart_receive +add wave -group test -radix hexadecimal /pipeline_tb/writeback_st/uart/uart_data_read_nxt +add wave -group test -radix hexadecimal /pipeline_tb/writeback_st/uart/ext_reg.sel +add wave -group test -radix hexadecimal /pipeline_tb/writeback_st/uart/rs232_rx_inst/rx_data_nxt + +add wave -group test -radix hexadecimal /pipeline_tb/writeback_st/reg_we +add wave -group test -radix hexadecimal /pipeline_tb/writeback_st/write_en +add wave -group test -radix hexadecimal /pipeline_tb/writeback_st/wb_reg.dmem_en +add wave -group test -radix hexadecimal /pipeline_tb/writeback_st/wb_reg.dmem_write_en +add wave -group test -radix hexadecimal /pipeline_tb/writeback_st/ext_anysel +add wave -group test -radix hexadecimal /pipeline_tb/writeback_st/alu_jmp +add wave -group test -radix hexadecimal /pipeline_tb/writeback_st/wb_reg.address +add wave -group test -radix hexadecimal /pipeline_tb/writeback_st/data_ram_read +add wave -group test -radix hexadecimal /pipeline_tb/exec_st/dmem_write_en + +run 100000 ns