X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;ds=sidebyside;f=src%2FMakefile;h=2dfd9f534c427803b7d49452f931f5a132fd7498;hb=32a5c373799d20e6ee910b133074303df5ebd86a;hp=10f5e593cf57ad8cbfa7e29c1f8ae6840e59248c;hpb=3e8a43d9f939026ba7fbd109043772fad8bb6787;p=hwmod.git diff --git a/src/Makefile b/src/Makefile index 10f5e59..2dfd9f5 100644 --- a/src/Makefile +++ b/src/Makefile @@ -10,6 +10,15 @@ POST_SDO_INST := inst QUARTUS := $(MPWD)/../quartus/calc QUOPT := --read_settings_files=on --write_settings_files=off calc -c calc +ifeq ($(findstring $(HN), $(TILABHOSTS)),$(HN)) +# die option 's' geht nicht... :( +COVERVCOM := -cover bcet +COVERVSIM := -coverage +else +COVERVCOM := +COVERVSIM := +endif + HN := $(shell hostname) TILABHOSTS := $(shell echo ti{1..9}) apps1 @@ -68,15 +77,15 @@ $(D_BEHSIM)/modelsim.ini: $(D_BEHSIM)/$(WORK)/%/_primary.dat: %.vhd $(D_BEHSIM)/modelsim.ini @echo " CC $<" - @cd $(D_BEHSIM); \ - vcom -work $(WORK) $(MPWD)/$< + cd $(D_BEHSIM); \ + vcom $(COVERVCOM) -work $(WORK) $(MPWD)/$< beh_%: $(D_BEHSIM)/$(WORK)/beh_%_tb/_primary.dat beh_%_tb.do behsim cd $(D_BEHSIM); \ - vsim "work.$@_tb(sim)" -f /dev/null -do $(MPWD)/$@_tb.do + vsim $(COVERVSIM) "work.$@_tb(sim)" -f /dev/null -do $(MPWD)/$@_tb.do #postsim -postsim: $(POST_VHO) $(POST_SDO) +postsim: post_alu $(D_POSTSIM)/modelsim.ini: @echo " INIT for post-layout simulation" @@ -90,42 +99,34 @@ $(D_POSTSIM)/$(WORK)/%/_primary.dat: %.vhd @cd $(D_POSTSIM); \ vcom -work $(WORK) $(MPWD)/$< -post_%: postsim $(D_POSTSIM)/$(WORK)/post_%_tb/_primary.dat post_%_tb.do +post_%: quartus_gen_% post_%_tb.do $(D_POSTSIM)/$(WORK)/post_%_tb/_primary.dat cd $(D_POSTSIM); \ vsim "work.$@_tb(sim)" -sdftyp /$(POST_SDO_INST)=$(POST_SDO) -f /dev/null -do $(MPWD)/$@_tb.do -$(QUARTUS): $(D_POSTSIM)/modelsim.ini +quartus_gen_%: ../quartus/project_%.tcl $(D_POSTSIM)/modelsim.ini $(POST_VTARGETS) $(POST_SRC_VTARGETS) @echo -n " Quartus generate project" ifeq ($(findstring $(HN), $(TILABHOSTS)),$(HN)) - @echo "(@tilab)" - @cd ../quartus; ./linux_tilab.sh + @echo "(@tilab): $<" + @cd ../quartus; rm -Rf calc; ./linux_tilab.sh $< else - @echo "(not @ tilab)" - @cd ../quartus; ./linux_web.sh + @echo "(not @ tilab): $<" + @cd ../quartus; rm -Rf calc; ./linux_web.sh $< endif - -#TODO: more targets plz... -$(POST_VHO) $(POST_SDO): $(QUARTUS) $(POST_VTARGETS) $(POST_SRC_VTARGETS) @echo " Quartus analysis & synthesis" - @$(QUMACRO) quartus_map $(QUOPT) | tee $(MPWD)/quartusmap.tmp + @$(QUMACRO) quartus_map $(QUOPT) @echo " Quartus fitter" @$(QUMACRO) quartus_fit $(QUOPT) @echo " Quartus assembler" @$(QUMACRO) quartus_asm $(QUOPT) @echo " Quartus timing analyzer" - @$(QUMACRO) quartus_tan $(QUOPT) --timing_analysis_only | tee $(MPWD)/quartustan.tmp + @$(QUMACRO) quartus_tan $(QUOPT) --timing_analysis_only @echo " Quartus EDA netlist writer" @$(QUMACRO) quartus_eda $(QUOPT) - #not nice atm :/ @echo " CC $(POST_VHO)" @cd $(D_POSTSIM); \ vcom -work $(WORK) $(POST_VHO) - @echo -n " INFO logic cells: " - @grep 'logic cells' quartusmap.tmp | awk ' { print $$3 } ' - @grep 'Fmax ' quartustan.tmp | sed -e 's/ / /g' -e 's/Info/INFO/g' -e 's/:/ /g' - @rm quartus*.tmp .PHONY: clean clean: - rm -Rf $(D_BEHSIM) $(D_POSTSIM) $(QUARTUS) + rm -Rf $(D_BEHSIM) $(D_POSTSIM)