X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;ds=sidebyside;f=cpu%2Fsrc%2Fcore_top.vhd;h=58a2377856a52be7d5d68c1ca11ea2a69fbfc1dd;hb=d3e96fef52bbd1c7fc4acf85561e9a4874016283;hp=8892f758df4bf27b9627c3b61049770f6af59cbe;hpb=ac20f90b4c246e11b0c7f399c53b7adb9b113042;p=calu.git diff --git a/cpu/src/core_top.vhd b/cpu/src/core_top.vhd index 8892f75..58a2377 100644 --- a/cpu/src/core_top.vhd +++ b/cpu/src/core_top.vhd @@ -11,7 +11,9 @@ entity core_top is --System input pins sys_clk : in std_logic; sys_res : in std_logic; - result : out gp_register_t + result : out gp_register_t; + jump_result : out instruction_addr_t; + reg_wr_data : out gp_register_t ); @@ -123,4 +125,7 @@ begin result <= result_pin; nop_pin <= (alu_jump_bit_pin xor brpr_pin); + jump_result <= jump_result_pin; + + reg_wr_data <= reg_wr_data_pin; end behav;