X-Git-Url: http://wien.tomnetworks.com/gitweb/?a=blobdiff_plain;ds=inline;f=cpu%2Fsrc%2Fcommon_pkg.vhd;h=23791653dacc5a17bebf2f18faf9ae34a4bdfcd3;hb=d1e680464f7b7147dc4b435a27973621fd69f065;hp=03e61cd8c39c07cfa79967462c103d42d80fd625;hpb=8173b5fa1ed9ea2a213f8f23ee9ed92869203884;p=calu.git diff --git a/cpu/src/common_pkg.vhd b/cpu/src/common_pkg.vhd index 03e61cd..2379165 100755 --- a/cpu/src/common_pkg.vhd +++ b/cpu/src/common_pkg.vhd @@ -34,6 +34,7 @@ package common_pkg is subtype instruction_word_t is std_logic_vector(WORD_WIDTH-1 downto 0); subtype instruction_addr_t is std_logic_vector(INSTR_ADDR_WIDTH-1 downto 0); + subtype instr_addr_t is instruction_addr_t; subtype gp_addr_t is std_logic_vector(REG_ADDR_WIDTH-1 downto 0); subtype data_ram_word_t is std_logic_vector(WORD_WIDTH-1 downto 0);