spec: ups @ makefile
[hwmod.git] / src / calc_s3e.vhd
index bc1cc090142e347cdad0a56bb4fac458e2108c57..c43749d699d003a3243024e65159bc9dd5d3d3fc 100644 (file)
@@ -10,7 +10,7 @@ use work.ps2_keyboard_controller_pkg.all;
 entity calc is
        port (
                CLK_50MHZ : in std_logic;
-               -- sys_res_n : in std_logic;
+               sys_res : in std_logic;
                -- btnA
                -- TODO: pins
                -- rs232
@@ -31,6 +31,8 @@ entity calc is
 end entity calc;
 
 architecture top of calc is
+       -- reset
+       signal sys_res_n : std_logic;
        -- ps/2
        signal new_data : std_logic;
        signal data : std_logic_vector(7 downto 0);
@@ -48,12 +50,20 @@ architecture top of calc is
        -- history/scanner
        signal s_char : hbyte;
        signal s_take, s_done, s_backspace : std_logic;
-
-       -- tmp: history<>scanner
+       -- history/parser
+       signal p_rget : std_logic;
+       signal p_rdone : std_logic;
+       signal p_read : hbyte;
+       signal p_wtake : std_logic;
+       signal p_wdone : std_logic;
+       signal p_write : hbyte;
+       signal p_finished : std_logic;
+       -- parser/scanner
        signal do_it, finished : std_logic;
 begin
        led0 <= '0';
        led1 <= '1';
+       sys_res_n <= not sys_res;
 
        -- vga/ipcore
        textmode_vga_inst : entity work.textmode_vga(struct)
@@ -64,12 +74,12 @@ begin
        )
        port map (
                sys_clk => CLK_50MHZ,
-               sys_res_n => '1',
+               sys_res_n => sys_res_n,
                command => command,
                command_data => command_data,
                free => free,
                vga_clk => vga_clk,
-               vga_res_n => '1',
+               vga_res_n => sys_res_n,
                vsync_n => vsync_n,
                hsync_n => hsync_n,
                r => r,
@@ -88,7 +98,7 @@ begin
        display_inst : entity work.display(beh)
        port map (
                sys_clk => CLK_50MHZ,
-               sys_res_n => '1',
+               sys_res_n => sys_res_n,
                -- history
                d_new_eingabe => d_new_eingabe,
                d_new_result => d_new_result,
@@ -108,7 +118,7 @@ begin
        history_inst : entity work.history(beh)
        port map (
                sys_clk => CLK_50MHZ,
-               sys_res_n => '1',
+               sys_res_n => sys_res_n,
                -- scanner
                s_char => s_char,
                s_take => s_take,
@@ -123,7 +133,30 @@ begin
                d_get => d_get,
                d_done => d_done,
                d_char => d_char,
-               -- TODO: tmp only!
+               -- parser
+               p_rget => p_rget,
+               p_rdone => p_rdone,
+               p_read => p_read,
+               p_wtake => p_wtake,
+               p_wdone => p_wdone,
+               p_write => p_write,
+               p_finished => p_finished
+       );
+
+       -- parser
+       parser_inst : entity work.parser(beh)
+       port map (
+               sys_clk => CLK_50MHZ,
+               sys_res_n => sys_res_n,
+               -- history
+               p_rget => p_rget,
+               p_rdone => p_rdone,
+               p_read => p_read,
+               p_wtake => p_wtake,
+               p_wdone => p_wdone,
+               p_write => p_write,
+               p_finished => p_finished,
+               -- scanner
                do_it => do_it,
                finished => finished
        );
@@ -132,7 +165,7 @@ begin
        scanner_inst : entity work.scanner(beh)
        port map (
                sys_clk => CLK_50MHZ,
-               sys_res_n => '1',
+               sys_res_n => sys_res_n,
                -- ps/2
                new_data => new_data,
                data => data,
@@ -141,7 +174,7 @@ begin
                s_take => s_take,
                s_done => s_done,
                s_backspace => s_backspace,
-               -- TODO: parser. temporaer mit history verbunden
+               -- parser
                do_it => do_it,
                finished => finished
        );
@@ -154,7 +187,7 @@ begin
        )
        port map (
                sys_clk => CLK_50MHZ,
-               sys_res_n => '1',
+               sys_res_n => sys_res_n,
                -- scanner
                new_data => new_data,
                data => data,