Merge pull request #5714 from alexischr/update_bockbuild
[mono.git] / mono / mini / cpu-x86.md
index 4afa31ecd47d6be7347e038a1e68522d2467cdce..870b10b2397506dfdbfb087005e84c8e459018e7 100644 (file)
@@ -66,7 +66,7 @@ break: len:1
 call: dest:a clob:c len:17
 tailcall: len:120 clob:c
 br: len:5
-seq_point: len:24 clob:c
+seq_point: len:26 clob:c
 il_seq_point: len:0
 
 int_beq: len:6
@@ -304,9 +304,7 @@ bigmul_un: len:2 dest:l src1:a src2:i
 sext_i1: dest:i src1:y len:3
 sext_i2: dest:i src1:y len:3
 tls_get: dest:i len:32
-tls_get_reg: dest:i src1:i len:20
 tls_set: src1:i len:20
-tls_set_reg: src1:i src2:i len:20
 atomic_add_i4: src1:b src2:i dest:i len:16
 atomic_exchange_i4: src1:b src2:i dest:a len:24
 atomic_cas_i4: src1:b src2:i src3:a dest:a len:24
@@ -607,6 +605,7 @@ cvttps2dq: dest:x src1:x len:4 clob:1
 
 xmove: dest:x src1:x len:4
 xzero: dest:x len:4
+xones: dest:x len:4
 
 iconv_to_x: dest:x src1:i len:4
 extract_i4: dest:i src1:x len:4
@@ -639,11 +638,10 @@ xconv_r8_to_i4: dest:y src1:x len:7
 
 prefetch_membase: src1:b len:4
 
-expand_i1: dest:x src1:y len:17 clob:1
 expand_i2: dest:x src1:i len:15
 expand_i4: dest:x src1:i len:9
-expand_r4: dest:x src1:f len:13
-expand_r8: dest:x src1:f len:13
+expand_r4: dest:x src1:f len:20
+expand_r8: dest:x src1:f len:20
 
 liverange_start: len:0
 liverange_end: len:0
@@ -653,3 +651,5 @@ gc_spill_slot_liveness_def: len:0
 gc_param_slot_liveness_def: len:0
 get_sp: dest:i len:6
 set_sp: src1:i len:6
+
+fill_prof_call_ctx: src1:i len:128