Merge pull request #488 from roji/email_attachment_fixes
[mono.git] / mono / mini / cpu-ia64.md
index b3d2e5b2a52d8b7f84915b0f1648a916aa61f583..5d4fec04432cc178c05a6fe646ac4e3213e8b1e0 100644 (file)
@@ -6,7 +6,7 @@
 
 label: len:0
 break: len:48
-jmp: len:48
+jmp: len:128
 br: len:48
 throw: src1:i len:96
 rethrow: src1:i len:48
@@ -24,9 +24,6 @@ compare_imm: src1:i len:48
 icompare_imm: src1:i len:48
 fcompare: src1:f src2:f clob:a len:48
 oparglist: src1:b len:48
-outarg: src1:i len:48
-outarg_imm: len:48
-setret: dest:r src1:i len:48
 setlret: dest:r src1:i src2:i len:48
 checkthis: src1:b len:48
 call: dest:r clob:c len:80
@@ -70,7 +67,6 @@ loadu4_membase: dest:i src1:b len:48
 loadi8_membase: dest:i src1:b len:48
 loadr4_membase: dest:f src1:b len:48
 loadr8_membase: dest:f src1:b len:48
-loadr8_spill_membase: src1:b len:48
 loadu4_mem: dest:i len:48
 move: dest:i src1:i len:48
 add_imm: dest:i src1:i len:48
@@ -150,7 +146,7 @@ float_clt_membase: dest:i src1:f src2:b len:48
 float_clt_un_membase: dest:i src1:f src2:b len:48
 float_conv_to_u: dest:i src1:f len:48
 fmove: dest:f src1:f len:48
-call_handler: len:96
+call_handler: len:96 clob:c
 start_handler: len:96
 endfilter: len:96
 endfinally: len:96
@@ -367,9 +363,11 @@ ia64_loadi8_membase_inc: dest:b src1:i len:48
 ia64_loadr4_membase_inc: dest:b src1:i len:48
 ia64_loadr8_membase_inc: dest:b src1:i len:48
 
+relaxed_nop: len:0
+
 # Linear IR opcodes
 nop: len:0
-dummy_use: len:0
+dummy_use: src1:i len:0
 dummy_store: len:0
 not_reached: len:0
 not_null: src1:i len:0