ram: reducing instr- and dataram
[calu.git] / dt / dt.qsf
index aed8015bc2cf17e1f63ababa3ec2a1953161a4cd..289cb313f4b154ed6096fde97d422a557e58bb41 100644 (file)
--- a/dt/dt.qsf
+++ b/dt/dt.qsf
@@ -78,6 +78,11 @@ set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP ON
 set_global_assignment -name MUX_RESTRUCTURE OFF
 set_global_assignment -name OPTIMIZE_HOLD_TIMING "ALL PATHS"
 set_global_assignment -name FMAX_REQUIREMENT "50 MHz"
+
+
+
+
+set_global_assignment -name VHDL_FILE ../cpu/src/core_top_c2de1.vhd
 set_global_assignment -name VHDL_FILE ../cpu/src/r_w_ram_be_b.vhd
 set_global_assignment -name VHDL_FILE ../cpu/src/r_w_ram_be.vhd
 set_global_assignment -name VHDL_FILE ../cpu/src/rom.vhd
@@ -107,9 +112,11 @@ set_global_assignment -name VHDL_FILE ../cpu/src/extension_uart.vhd
 set_global_assignment -name VHDL_FILE ../cpu/src/extension_imp_pkg.vhd
 set_global_assignment -name VHDL_FILE ../cpu/src/extension_imp_b.vhd
 set_global_assignment -name VHDL_FILE ../cpu/src/extension_imp.vhd
-set_global_assignment -name VHDL_FILE ../cpu/src/extension_interrupt_pkg.vhd
 set_global_assignment -name VHDL_FILE ../cpu/src/extension_interrupt_b.vhd
 set_global_assignment -name VHDL_FILE ../cpu/src/extension_interrupt.vhd
+set_global_assignment -name VHDL_FILE ../cpu/src/extension_timer_pkg.vhd
+set_global_assignment -name VHDL_FILE ../cpu/src/extension_timer_b.vhd
+set_global_assignment -name VHDL_FILE ../cpu/src/extension_timer.vhd
 set_global_assignment -name VHDL_FILE ../cpu/src/extension_pkg.vhd
 set_global_assignment -name VHDL_FILE ../cpu/src/extension_b.vhd
 set_global_assignment -name VHDL_FILE ../cpu/src/extension.vhd
@@ -131,8 +138,8 @@ set_global_assignment -name VHDL_FILE ../cpu/src/exec_op/shift_op_b.vhd
 set_global_assignment -name VHDL_FILE ../cpu/src/exec_op/or_op_b.vhd
 set_global_assignment -name VHDL_FILE ../cpu/src/exec_op/and_op_b.vhd
 set_global_assignment -name VHDL_FILE ../cpu/src/exec_op/add_op_b.vhd
-
-
+set_location_assignment PIN_41 -to soft_res
+set_global_assignment -name MISC_FILE /homes/c0725782/calu/dt/dt.dpf
 
 
 set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
\ No newline at end of file