deepjit: 1326 => 1246
[calu.git] / cpu / src / rs232_tx_arc.vhd
index ac431d874b3cefc2fa4affc37aba6d513b10b9cc..1caa47dc5e4b975dce2dc6033ed3843e1bb4c526 100755 (executable)
@@ -13,9 +13,10 @@ library IEEE;
 use IEEE.std_logic_1164.all;
 use IEEE.numeric_std.all;
 
+use work.extension_uart_pkg.all;
+
 use work.common_pkg.all;
 use work.core_pkg.all;
-use work.extension_uart_pkg.all;
 
 architecture beh of rs232_tx is
        -- definierern der intern verwendeten Signale
@@ -85,8 +86,8 @@ begin
                                tx_rdy <= '1';
                                cnt_next <= 0;
                                -- TODO: wtf, typproblem
-                               -- baud_cnt_next <= to_integer(unsigned(bd_rate));
-                               baud_cnt_next <= CLK_PER_BAUD;
+                               baud_cnt_next <= to_integer(IEEE.numeric_std.unsigned(bd_rate));
+                               --baud_cnt_next <= CLK_PER_BAUD;
                        when SEND =>
                                -- Signalisiert dass gerade ein Byte versendet wird 
                                tx_rdy <= '0';
@@ -102,19 +103,19 @@ begin
                                                when 9 =>
                                                        -- counter = 9 => Stopbit versenden
                                                        bus_tx_nxt <= '1';
-                                                       -- stop_bit 0 heit 1 stop bit
-                                                       if stop_bit = '0' then 
-                                                               cnt_next <= 0;
-                                                               idle_sig_next <= '1';
-                                                       end if;         
                                                when 10 =>
                                                        bus_tx_nxt <= '1';
                                                        -- stop_bit 1 heit 2 stop bits
-                                                       if stop_bit = '1' then 
+                                                       if stop_bit = '0' then 
                                                                cnt_next <= 0;
                                                                -- Signalisieren dass der Sendevorgang beendet ist
                                                                idle_sig_next <= '1';
                                                        end if;
+                                               when 11 => 
+                                                       bus_tx_nxt <= '1';
+                                                       cnt_next <= 0;
+                                                       -- Signalisieren dass der Sendevorgang beendet ist
+                                                       idle_sig_next <= '1';
                                                when others =>
                                                        -- counter von 1 bis 8 => Datenbits versenden
                                                        bus_tx_nxt <= tx_data(cnt-1);