cyclone: pinmapping und reset angepasst
[calu.git] / cpu / src / rom_b.vhd
index 5204162b2239288c74325fc6278e6b87a4977e71..9af8d8209da2d2075a94c7570481153139a5328d 100644 (file)
@@ -7,12 +7,7 @@ use work.mem_pkg.all;
 
 architecture behaviour of rom is
 
-       subtype RAM_ENTRY_TYPE is std_logic_vector(DATA_WIDTH -1 downto 0);
-       type RAM_TYPE is array (0 to (2**ADDR_WIDTH)-1) of RAM_ENTRY_TYPE;
-       
-                                                                       -- r0 = 0, r1 = 1, r2 = 3, r3 = A
-
-       signal rrrr_addr : std_logic_vector(31 downto 0);
+       signal vsim_bug : std_logic_vector(31 downto 0);
        
 begin
        process(clk)
@@ -21,56 +16,168 @@ begin
 --              data_out <= ram(to_integer(UNSIGNED(rd_addr)));
 
 
-       case rrrr_addr(10 downto 0) is
+       --case rrrr_addr(10 downto 0) is
+                       case vsim_bug(6 downto 0) is
+       -- fibonacci
+--                             when "00000000000" => data_out <= "11101101000000000000000000000000"; -- 
+--                             when "00000000001" => data_out <= "11101101001000000000000000000000"; -- 
+--                             when "00000000010" => data_out <= "11100111101000000000000000000000"; -- 
+--                             
+--                             when "00000000011" => data_out <= "11100001000000000000000000100001"; -- 
+--                             when "00000000100" => data_out <= "11101100100000000000001100000000"; -- 
+--                             when "00000000101" => data_out <= "00001011011111111111111010000011"; -- 
+--                             
+--                             when "00000000110" => data_out <= "11101101000000000000000000001000"; -- 
+--                             when "00000000111" => data_out <= "11100111100000000000000000001111"; -- 
+--                             when "00000001000" => data_out <= "11100111100000000000000000010011"; -- --
+--
+--                             
+--                             when "00000001001" => data_out <= x"ed080048"; --x"ed080048"; -- 
+--                             when "00000001010" => data_out <= x"ed500080"; -- 
+--                             when "00000001011" => data_out <= x"fd500002"; -- 
+--                             when "00000001100" => data_out <= x"eb000107";
+--                             when "00000001101" => data_out <= "11101011000000000000011010000010"; --"11101011000000000000000000000010";
+--                             
+--                             when "00000001110" => data_out <= x"e5088800";
+--                             when "00000001111" => data_out <= x"e0150800";
+--                             when "00000010000" => data_out <= x"e7010000";
+--                             when "00000010001" => data_out <= x"ec800000";
+--                             when "00000010010" => data_out <= x"0b000008";
+--                             when "00000010011" => data_out <= x"e1910020";
+--                             when "00000010100" => data_out <= x"eb7ffe07";
+--                             when "00000010101" => data_out <= x"e7197ffc";
+--                             when "00000010110" => data_out <= x"e0018000";
+--
+--                             when "00000010111" => data_out <= x"e1110020";
+--                             when "00000011000" => data_out <= x"e7810000";
+--                             when "00000011001" => data_out <= x"eb00000a";
+--                             
+--                             
+--                             when "00000011010" => data_out <= x"ed290080";
+--                             when "00000011011" => data_out <= x"e1080000";
+--
+--                             when "00000011100" => data_out <= x"e78a8000";
+--                             
+--                             when "00000011101" => data_out <= x"ed510058";
+--                             when "00000011110" => data_out <= x"e7850000";
 
-                               when "00000000000" => data_out <= "11101101000000000000000000000000"; -- 
-                               when "00000000001" => data_out <= "11101101001000000000000000000000"; -- 
-                               when "00000000010" => data_out <= "11100111101000000000000000000000"; -- 
-                               
-                               when "00000000011" => data_out <= "11100001000000000000000000100001"; -- 
-                               when "00000000100" => data_out <= "11101100100000000000001100000000"; -- 
-                               when "00000000101" => data_out <= "00001011011111111111111010000011"; -- 
-                               
-                               when "00000000110" => data_out <= "11101101000000000000000000001000"; -- 
-                               when "00000000111" => data_out <= "11100111100000000000000000001111"; -- 
-                               when "00000001000" => data_out <= "11100111100000000000000000010011"; -- --
+                       -- uart echo
 
-                               
-                               when "00000001001" => data_out <= x"ed080080"; --x"ed080048"; -- 
-                               when "00000001010" => data_out <= x"ed500080"; -- 
-                               when "00000001011" => data_out <= x"fd500002"; -- 
-                               when "00000001100" => data_out <= x"eb000107";
-                               when "00000001101" => data_out <= "11101011000000000000011010000010"; --"11101011000000000000000000000010";
-                               
-                               when "00000001110" => data_out <= x"e5088800";
-                               when "00000001111" => data_out <= x"e0150800";
-                               when "00000010000" => data_out <= x"e7010000";
-                               when "00000010001" => data_out <= x"ec800000";
-                               when "00000010010" => data_out <= x"0b000008";
-                               when "00000010011" => data_out <= x"e1910020";
-                               when "00000010100" => data_out <= x"eb7ffe07";
-                               when "00000010101" => data_out <= x"e7197ffc";
-                               when "00000010110" => data_out <= x"e0018000";
+--1;00000000;ed010058;ldi r0, 0x200B;;
+--1;00000004;ed090060;ldi r1, 0x200C;;
+--1;00000008;ed110080;ldi r2, 0x2010;;
+--1;0000000c;e7188000;ldw r3, 0(r1);;
+--1;00000010;ec1a0000;cmp r3, r4;;
+--1;00000014;1b7ffd81;breq 0;;
+--1;00000018;e7980000;stw r3, 0(r0);;
+--1;0000001c;e7990000;stw r3, 0(r2);;
+--1;00000020;e1218000;addi r4, r3, 0;;
+--1;00000024;eb7ffb81;br 0;;
+                       
+--                             when "0000000" => data_out <= x"ed010058";
+--                             when "0000001" => data_out <= x"ed090060";
+--                             when "0000010" => data_out <= x"ed110080"; --x"e7188000";  f
+--                             when "0000011" => data_out <= x"e7188000"; --x"ec1a0000";
+--                             when "0000100" => data_out <= x"ec1a0000";
+--                             when "0000101" => data_out <= x"1b7ffe01";
+--                             when "0000110" => data_out <= x"e7990000"; -- f
+--                             when "0000111" => data_out <= x"e7980000";
+--                             when "0001000" => data_out <= x"e1218000";
+--                             when "0001001" => data_out <= x"eb7ffb81";
 
-                               when "00000010111" => data_out <= x"e1110020";
-                               when "00000011000" => data_out <= x"e7810000";
-                               when "00000011001" => data_out <= x"eb00000a";
-                               
-                               
-                               when "00000011010" => data_out <= x"ed290080";
-                               when "00000011011" => data_out <= x"e1080000";
+--1;00000000;ed010058;ldi r0, 0x200B;;;
+--1;00000004;ed090060;ldi r1, 0x200C;;;
+--1;00000008;ed110080;ldi r2, 0x2010;;;
+--1;0000000c;ed390078;ldi r7, 0x200F;;;
+--1;00000010;ed480012;ldih r9, 0x0002;;;
+--1;00000014;e7438000;ldw r8, 0(r7);;;
+--1;00000018;e254c000;and r10, r9, r8;;;
+--1;0000001c;07188000;ldwnz r3, 0(r1);;;
+--1;00000020;07980000;stwnz r3, 0(r0);;;
+--1;00000024;07990000;stwnz r3, 0(r2);;;
+--1;00000028;eb7ffb01;br 0;;;
 
-                               when "00000011100" => data_out <= x"e78a8000";
-                               
-                               when others => data_out <= "11101011000000000000000000000010";
+--uart test:
 
+--                             when "0000000" => data_out <= x"ed010058";
+--                             when "0000001" => data_out <= x"ed090060";
+--                             when "0000010" => data_out <= x"ed110080"; --x"e7188000";  f
+--                             when "0000011" => data_out <= x"ed390000"; --x"ec1a0000";
+--                             when "0000100" => data_out <= x"ed480012";
+--                             when "0000101" => data_out <= x"e7438000";
+--                             when "0000110" => data_out <= x"e254c000"; -- f
+--                             when "0000111" => data_out <= x"07188000";
+--                             when "0001000" => data_out <= x"07980000";
+--                             when "0001001" => data_out <= x"07990000";
+--                             when "0001010" => data_out <= x"eb7ffb81";
+
+-------------------------------------------                            
+                                                       
+--                             when "00000000000" => data_out <= x"ed000000";
+--                             when "00000000001" => data_out <= x"ed080008";
+--                             when "00000000010" => data_out <= x"e9880000"; --x"e7188000";  f
+--                             when "00000000011" => data_out <= x"e5088400"; --x"ec1a0000";
+--                             when "00000000100" => data_out <= x"e9880001";
+--                             when "00000000101" => data_out <= x"e7180000";
+--                             when "00000000110" => data_out <= x"e9200001"; -- f
+--                             when "00000000111" => data_out <= x"e7a00004";
+--                             when "00000001000" => data_out <= x"e7280004";
+--                     --      when "00000001001" => data_out <= x"eb7ffb81";
+       when "0000000" => data_out <= x"eb000183"; -- br+ main 
+       when "0000001" => data_out <= x"eb000487"; -- call+ uartrdy 
+       when "0000010" => data_out <= x"eb000008"; -- ret
+       when "0000011" => data_out <= x"ed090058"; -- ldi r1, 0x200b 
+       when "0000100" => data_out <= x"ed110060"; -- ldi r2, 0x200c 
+       when "0000101" => data_out <= x"ed190080"; -- ldi r3, 0x2010 
+       when "0000110" => data_out <= x"ed210120"; -- ldi r4, 0x2024 
+       when "0000111" => data_out <= x"ed280018"; -- ldi r5, 3
+       when "0001000" => data_out <= x"e7aa0000"; -- stw r5, 0(r4)
+       when "0001001" => data_out <= x"eb7ffb83"; -- br+ start
+       when "0001010" => data_out <= x"ed4101a0"; -- ldi r8, 0x2034 
+       when "0001011" => data_out <= x"ed4901c0"; -- ldi r9, 0x2038 
+       when "0001100" => data_out <= x"e4555000"; -- xor r10, r10, r10
+       when "0001101" => data_out <= x"e45dd800"; -- xor r11, r11, r11
+       when "0001110" => data_out <= x"ed500400"; -- ldi r10, 0x80
+       when "0001111" => data_out <= x"e7d40000"; -- stw r10, 0(r8)
+       when "0010000" => data_out <= x"ed580c18"; -- ldi r11, 0x0183
+       when "0010001" => data_out <= x"ed5f5802"; -- ldih r11, 0xeb00
+       when "0010010" => data_out <= x"e7dc8000"; -- stw r11, 0(r9)
+       when "0010011" => data_out <= x"e4555000"; -- xor r10, r10, r10
+       when "0010100" => data_out <= x"ed500408"; -- ldi r10, 0x81
+       when "0010101" => data_out <= x"e7d40000"; -- stw r10, 0(r8)
+       when "0010110" => data_out <= x"ed580838"; -- ldi r11, 0x107
+       when "0010111" => data_out <= x"ed5f5802"; -- ldih r11, 0xeb00
+       when "0011000" => data_out <= x"e7dc8000"; -- stw r11, 0(r9)
+       when "0011001" => data_out <= x"e4555000"; -- xor r10, r10, r10
+       when "0011010" => data_out <= x"ed500410"; -- ldi r10, 0x82
+       when "0011011" => data_out <= x"e7d40000"; -- stw r10, 0(r8)
+       when "0011100" => data_out <= x"ed580040"; -- ldi r11, 0x8
+       when "0011101" => data_out <= x"ed5f5802"; -- ldih r11, 0xeb00
+       when "0011110" => data_out <= x"e7dc8000"; -- stw r11, 0(r9)
+       when "0011111" => data_out <= x"e4555000"; -- xor r10, r10, r10
+       when "0100000" => data_out <= x"ed500418"; -- ldi r10, 0x83
+       when "0100001" => data_out <= x"e7d40000"; -- stw r10, 0(r8)
+       when "0100010" => data_out <= x"ed580000"; -- ldi r11, 0x0
+       when "0100011" => data_out <= x"ed5f5802"; -- ldih r11, 0xeb00
+       when "0100100" => data_out <= x"e7dc8000"; -- stw r11, 0(r9)
+       when "0100101" => data_out <= x"e4555000"; -- xor r10, r10, r10
+       when "0100110" => data_out <= x"ed500420"; -- ldi r10, 0x84
+       when "0100111" => data_out <= x"e7d40000"; -- stw r10, 0(r8)
+       when "0101000" => data_out <= x"ed5c0000"; -- ldi r11, 0x8000
+       when "0101001" => data_out <= x"ed5f3dc2"; -- ldih r11, 0xe7b8
+       when "0101010" => data_out <= x"e7dc8000"; -- stw r11, 0(r9)
+       when "0101011" => data_out <= x"e4555000"; -- xor r10, r10, r10
+       when "0101100" => data_out <= x"ed500428"; -- ldi r10, 0x85
+       when "0101101" => data_out <= x"e7d40000"; -- stw r10, 0(r8)
+       when "0101110" => data_out <= x"ed580018"; -- ldi r11, 0x3
+       when "0101111" => data_out <= x"ed5f5802"; -- ldih r11, 0xeb00
+       when "0110000" => data_out <= x"e7dc8000"; -- stw r11, 0(r9)
+       -- just nop until rom end!
+       when others => data_out <= x"fd000000";
                        end case;
-                       
-                       if wr_en = '1' then
-                       end if;
                end if;
        end process;
        
-       rrrr_addr(10 downto 0) <= rd_addr;
-       rrrr_addr(31 downto 11) <= (others => '0');
+       vsim_bug(6 downto 0) <= rd_addr;
+       vsim_bug(31 downto 7) <= (others => '0');
 end architecture behaviour;