Add F71859 SIO.
[coreboot.git] / Makefile
index f1acda42859d0e3f4adeaa761a8aefb4d3f24450..247daccc01fc3f9e6bd7e0a4bce3c5e7955d4b24 100644 (file)
--- a/Makefile
+++ b/Makefile
@@ -36,10 +36,8 @@ export top := $(PWD)
 export src := src
 export srck := $(top)/util/kconfig
 export obj ?= build
-export objk := $(obj)/util/kconfig
-export sconfig := $(top)/util/sconfig
-export yapps2_py := $(sconfig)/yapps2.py
-export config_g := $(sconfig)/config.g
+export objutil ?= $(obj)/util
+export objk := $(objutil)/kconfig
 
 
 export KERNELVERSION      := 4.0
@@ -61,7 +59,7 @@ endif
 endif
 
 CPP:= $(CC) -x assembler-with-cpp -DASSEMBLY -E
-ROMCC:= $(obj)/romcc
+ROMCC:= $(objutil)/romcc/romcc
 HOSTCC = gcc
 HOSTCXX = g++
 HOSTCFLAGS := -I$(srck) -I$(objk) -g
@@ -71,8 +69,21 @@ LIBGCC_FILE_NAME := $(shell test -r `$(CC) -print-libgcc-file-name` && $(CC) -pr
 DOXYGEN := doxygen
 DOXYGEN_OUTPUT_DIR := doxygen
 
+# Three cases where we don't need fully populated $(obj) lists:
+# 1. when no .config exists
+# 2. when make config (in any flavour) is run
+# 3. when make distclean is run
+# Don't waste time on reading all Makefile.incs in these cases
 ifeq ($(strip $(HAVE_DOTCONFIG)),)
+NOCOMPILE:=1
+endif
+ifneq ($(MAKECMDGOALS),)
+ifneq ($(filter %config distclean,$(MAKECMDGOALS)),)
+NOCOMPILE:=1
+endif
+endif
 
+ifeq ($(NOCOMPILE),1)
 all: config
 
 else
@@ -109,7 +120,7 @@ PLATFORM-y += src/arch/$(ARCHDIR-y) src/cpu src/mainboard/$(MAINBOARDDIR)
 TARGETS-y :=
 
 BUILD-y := src/lib src/boot src/console src/devices src/southbridge src/northbridge src/superio src/drivers
-BUILD-y += util/cbfstool
+BUILD-y += util/cbfstool util/sconfig
 BUILD-$(CONFIG_ARCH_X86) += src/pc80
 
 ifneq ($(CONFIG_LOCALVERSION),"")
@@ -136,7 +147,7 @@ all:
        chmod +x .ccwrap
        scan-build $(CONFIG_SCANBUILD_REPORT_LOCATION) -analyze-headers --use-cc=$(top)/.ccwrap --use-c++=$(top)/.ccwrap $(MAKE) INNER_SCANBUILD=y
 else
-all: $(obj)/config.h $(obj)/build.h coreboot
+all: $(obj)/config.h coreboot
 endif
 
 # must come rather early
@@ -148,25 +159,27 @@ $(obj)/config.h:
 #######################################################################
 # Build the tools
 
-CBFSTOOL:=$(obj)/util/cbfstool/cbfstool
+CBFSTOOL:=$(objutil)/cbfstool/cbfstool
 
-$(obj)/mainboard/$(MAINBOARDDIR)/config.py: $(yapps2_py) $(config_g) 
+# needed objects that every mainboard uses
+# Creation of these is architecture and mainboard independent
+$(obj)/mainboard/$(MAINBOARDDIR)/static.c: $(src)/mainboard/$(MAINBOARDDIR)/devicetree.cb  $(objutil)/sconfig/sconfig
+       @printf "    SCONFIG    $(subst $(src)/,,$(<))\n"
        mkdir -p $(obj)/mainboard/$(MAINBOARDDIR)
-       python $(yapps2_py) $(config_g) $(obj)/mainboard/$(MAINBOARDDIR)/config.py
+       $(objutil)/sconfig/sconfig $(MAINBOARDDIR) $(obj)/mainboard/$(MAINBOARDDIR)
 
+$(objutil)/%.o: $(objutil)/%.c
+       @printf "    HOSTCC     $(subst $(objutil)/,,$(@))\n"
+       $(HOSTCC) -MMD -I$(subst $(objutil)/,util/,$(dir $<)) -I$(dir $<) $(HOSTCFLAGS) -c -o $@ $<
 
-# needed objects that every mainboard uses 
-# Creation of these is architecture and mainboard independent
-$(obj)/mainboard/$(MAINBOARDDIR)/static.c: $(src)/mainboard/$(MAINBOARDDIR)/devicetree.cb  $(obj)/mainboard/$(MAINBOARDDIR)/config.py
-       mkdir -p $(obj)/mainboard/$(MAINBOARDDIR)
-       (PYTHONPATH=$(top)/util/sconfig python $(obj)/mainboard/$(MAINBOARDDIR)/config.py  $(MAINBOARDDIR) $(top) $(obj)/mainboard/$(MAINBOARDDIR))
+$(obj)/%.o: $(obj)/%.c $(obj)/config.h
+       @printf "    CC         $(subst $(obj)/,,$(@))\n"
+       $(CC) -MMD $(CFLAGS) -c -o $@ $<
 
 objs:=$(obj)/mainboard/$(MAINBOARDDIR)/static.o
 initobjs:=
 drivers:=
 smmobjs:=
-crt0s:=
-ldscripts:=
 types:=obj initobj driver smmobj
 
 # Clean -y variables, include Makefile.inc
@@ -213,6 +226,8 @@ $(obj)/$(1)%.o: src/$(1)%.asl
        iasl -p $$(basename $$@) -tc $$(basename $$@).asl
        mv $$(basename $$@).hex $$(basename $$@).c
        $(CC) $$(CFLAGS) $$(if $$(subst dsdt,,$$(basename $$(notdir $$@))), -DAmlCode=AmlCode_$$(basename $$(notdir $$@))) -c -o $$@ $$(basename $$@).c
+       # keep %.o: %.c rule from catching the temporary .c file after a make clean
+       mv $$(basename $$@).c $$(basename $$@).hex
 endef
 
 # macro to define template macros that are used by use_template macro
@@ -222,16 +237,16 @@ define create_cc_template
 # $3 .o infix ("" ".initobj", ...)
 # $4 additional compiler flags
 de$(EMPTY)fine $(1)_$(2)_template
-$(obj)/$$(1)%$(3).o: src/$$(1)%.$(2) | $(obj)/build.h $(obj)/config.h
-       printf "    CC         $$$$(subst $$$$(obj)/,,$$$$(@))\n"
+$(obj)/$$(1)%$(3).o: src/$$(1)%.$(2) $(obj)/config.h
+       @printf "    CC         $$$$(subst $$$$(obj)/,,$$$$(@))\n"
        $(CC) $(4) -MMD $$$$(CFLAGS) -c -o $$$$@ $$$$<
 en$(EMPTY)def
 endef
 
 $(eval $(call create_cc_template,objs,c))
 $(eval $(call create_cc_template,objs,S,,-DASSEMBLY))
-$(eval $(call create_cc_template,initobjs,c,.initobj))
-$(eval $(call create_cc_template,initobjs,S,.initobj,-DASSEMBLY))
+$(eval $(call create_cc_template,initobjs,c,.initobj,-D__PRE_RAM__))
+$(eval $(call create_cc_template,initobjs,S,.initobj,-DASSEMBLY -D__PRE_RAM__))
 $(eval $(call create_cc_template,drivers,c,.driver))
 $(eval $(call create_cc_template,drivers,S,.driver,-DASSEMBLY))
 $(eval $(call create_cc_template,smmobjs,c,.smmobj))
@@ -263,17 +278,18 @@ printall:
        @echo LIBGCC_FILE_NAME=$(LIBGCC_FILE_NAME)
 
 printcrt0s:
-       @echo $(patsubst $(top)/%,%,$(crt0s))
+       @echo crt0s=$(crt0s)
+       @echo ldscripts=$(ldscripts)
 
 OBJS     := $(patsubst %,$(obj)/%,$(TARGETS-y))
-INCLUDES := -Isrc -Isrc/include -I$(obj) -Isrc/arch/$(ARCHDIR-y)/include 
+INCLUDES := -Isrc -Isrc/include -I$(obj) -Isrc/arch/$(ARCHDIR-y)/include
 INCLUDES += -Isrc/devices/oprom/include
 # abspath is a workaround for romcc
-INCLUDES += -include $(abspath $(obj)/config.h) -include $(abspath $(obj)/build.h)
+INCLUDES += -include $(abspath $(obj)/config.h)
 
 CFLAGS = $(INCLUDES) -Os -nostdinc -pipe
 CFLAGS += -nostdlib -Wall -Wundef -Wstrict-prototypes -Wmissing-prototypes
-CFLAGS += -Wwrite-strings -Wredundant-decls -Wno-trigraphs 
+CFLAGS += -Wwrite-strings -Wredundant-decls -Wno-trigraphs
 CFLAGS += -Wstrict-aliasing -Wshadow
 ifeq ($(CONFIG_WARNINGS_ARE_ERRORS),y)
 CFLAGS += -Werror
@@ -282,26 +298,25 @@ CFLAGS += -fno-common -ffreestanding -fno-builtin -fomit-frame-pointer
 
 CBFS_COMPRESS_FLAG:=l
 CBFS_PAYLOAD_COMPRESS_FLAG:=
+CBFS_PAYLOAD_COMPRESS_NAME:=none
 ifeq ($(CONFIG_COMPRESSED_PAYLOAD_LZMA),y)
 CBFS_PAYLOAD_COMPRESS_FLAG:=l
+CBFS_PAYLOAD_COMPRESS_NAME:=LZMA
 endif
 
-coreboot: prepare $(obj)/coreboot.rom
+coreboot: $(obj)/coreboot.rom
 
 endif
 
-prepare:
-       mkdir -p $(obj)
-       mkdir -p $(obj)/util/kconfig/lxdialog $(obj)/util/cbfstool
-       test -n "$(alldirs)" && mkdir -p $(alldirs) || true
+$(shell mkdir -p $(obj) $(objutil)/kconfig/lxdialog $(objutil)/cbfstool $(objutil)/romcc $(objutil)/options $(alldirs))
 
-$(obj)/build.h $(abspath $(obj)/build.h): .xcompile
+$(obj)/build.h: .xcompile
        @printf "    GEN        build.h\n"
        rm -f $(obj)/build.h
        printf "/* build system definitions (autogenerated) */\n" > $(obj)/build.ht
        printf "#ifndef __BUILD_H\n" >> $(obj)/build.ht
        printf "#define __BUILD_H\n\n" >> $(obj)/build.ht
-       printf "#define COREBOOT_VERSION \"$(KERNELVERSION)-r$(shell if [ -d $(top)/.svn -a -f `which svnversion` ]; then svnversion $(top); else if [ -d $(top)/.git -a -f `which git` ]; then git --git-dir=/$(top)/.git log|grep git-svn-id|cut -f 2 -d@|cut -f 1 -d' '|sort -g|tail -1; fi; fi)\"\n" >> $(obj)/build.ht
+       printf "#define COREBOOT_VERSION \"$(KERNELVERSION)-r$(shell if [ -d $(top)/.svn -a -f "`which svnversion`" ]; then svnversion $(top); else if [ -d $(top)/.git -a -f "`which git`" ]; then git --git-dir=/$(top)/.git log|grep git-svn-id|cut -f 2 -d@|cut -f 1 -d' '|sort -g|tail -1; fi; fi)\"\n" >> $(obj)/build.ht
        printf "#define COREBOOT_EXTRA_VERSION \"$(COREBOOT_EXTRA_VERSION)\"\n" >> $(obj)/build.ht
        printf "#define COREBOOT_BUILD \"`LANG= date`\"\n" >> $(obj)/build.ht
        printf "\n" >> $(obj)/build.ht
@@ -315,6 +330,9 @@ $(obj)/build.h $(abspath $(obj)/build.h): .xcompile
        printf "#endif\n" >> $(obj)/build.ht
        mv $(obj)/build.ht $(obj)/build.h
 
+cscope:
+       cscope -bR
+
 doxy: doxygen
 doxygen:
        $(DOXYGEN) documentation/Doxyfile.coreboot
@@ -328,21 +346,23 @@ clean-for-update: doxygen-clean
        rm -f $(DEPENDENCIES)
        rm -f $(obj)/coreboot_ram* $(obj)/coreboot.romstage $(obj)/coreboot.pre* $(obj)/coreboot.bootblock $(obj)/coreboot.a
        rm -rf $(obj)/bootblock* $(obj)/romstage* $(obj)/location.*
-       rm -f $(obj)/option_table.* $(obj)/crt0_includes.h $(obj)/ldscript
+       rm -f $(obj)/option_table.* $(obj)/crt0.S $(obj)/ldscript
        rm -f $(obj)/mainboard/$(MAINBOARDDIR)/static.c $(obj)/mainboard/$(MAINBOARDDIR)/config.py $(obj)/mainboard/$(MAINBOARDDIR)/static.dot
        rm -f $(obj)/mainboard/$(MAINBOARDDIR)/crt0.s $(obj)/mainboard/$(MAINBOARDDIR)/crt0.disasm
        rm -f $(obj)/mainboard/$(MAINBOARDDIR)/romstage.inc
        rm -f $(obj)/mainboard/$(MAINBOARDDIR)/bootblock.* $(obj)/mainboard/$(MAINBOARDDIR)/dsdt.*
        rm -f $(obj)/cpu/x86/smm/smm_bin.c $(obj)/cpu/x86/smm/smm.* $(obj)/cpu/x86/smm/smm
        rmdir -p $(alldirs) 2>/dev/null >/dev/null || true
-       $(MAKE) -C util/sconfig clean
 
 clean: clean-for-update
        rm -f $(obj)/coreboot* .ccwrap
 
-distclean: clean
+clean-cscope:
+       rm -f cscope.out
+
+distclean: clean-cscope
        rm -rf $(obj)
-       rm -f .config .config.old ..config.tmp .kconfig.d .tmpconfig*
+       rm -f .config .config.old ..config.tmp .kconfig.d .tmpconfig* .ccwrap .xcompile
 
 update:
        dongle.py -c /dev/term/1 $(obj)/coreboot.rom EOF
@@ -354,19 +374,20 @@ include util/kconfig/Makefile
 $(obj)/ldoptions: $(obj)/config.h
        awk '/^#define ([^"])* ([^"])*$$/ {gsub("\\r","",$$3); print $$2 " = " $$3 ";";}' $< > $@
 
-_OS=$(shell uname -s |cut -c-7)
+_WINCHECK=$(shell uname -o 2> /dev/null)
 STACK=
-ifeq ($(_OS),MINGW32)
+ifeq ($(_WINCHECK),Msys)
        STACK=-Wl,--stack,16384000
 endif
-ifeq ($(_OS),CYGWIN_)
+ifeq ($(_WINCHECK),Cygwin)
        STACK=-Wl,--stack,16384000
 endif
-$(obj)/romcc: $(top)/util/romcc/romcc.c
+
+$(objutil)/romcc/romcc: $(top)/util/romcc/romcc.c
        @printf "    HOSTCC     $(subst $(obj)/,,$(@)) (this may take a while)\n"
        @# Note: Adding -O2 here might cause problems. For details see:
        @# http://www.coreboot.org/pipermail/coreboot/2010-February/055825.html
        $(HOSTCC) -g $(STACK) -Wall -o $@ $<
 
-.PHONY: $(PHONY) prepare clean distclean doxygen doxy coreboot .xcompile
+.PHONY: $(PHONY) clean clean-cscope cscope distclean doxygen doxy coreboot .xcompile