quartusskripte: angepasst fuer webedition und tilab (weil die webedition das fpga...
[hwmod.git] / .gitignore
index 67be4a297d784000c08f3b38bf08a193d6464148..42d40cc87c663631174af012c5ee5a6afc04989a 100644 (file)
@@ -12,3 +12,12 @@ spec/sm/*.pdf
 *~
 
 *.ppk
+
+#sim
+sim/
+
+#modelsim
+src/transcript
+
+#quartus
+quartus/calc/