+ type byte_file_type is file of hbyte;
+ signal sys_clk : std_logic;
+ signal sys_res_n : std_logic;
+ signal btn_a : std_logic;
+ signal tx_new : std_logic;
+ signal tx_done : std_logic;
+ signal rx_new : std_logic;
+ signal d_get : std_logic;
+ signal d_done : std_logic;
+ signal rx_data, tx_data : std_logic_vector(7 downto 0);
+
+ signal d_zeile : hzeile;
+ signal d_spalte : hspalte;
+ signal d_char : hbyte;