projects
/
calu.git
/ blobdiff
commit
grep
author
committer
pickaxe
?
search:
re
summary
|
shortlog
|
log
|
commit
|
commitdiff
|
tree
raw
|
inline
| side by side
cyclone: pinmapping und reset angepasst
[calu.git]
/
cpu
/
src
/
fetch_stage_b.vhd
diff --git
a/cpu/src/fetch_stage_b.vhd
b/cpu/src/fetch_stage_b.vhd
index 23d8ecfe13a1b35c9439c2a7ac9a7cd91543b4f0..6ad91571e619554be4a25eec2e089dd3867957f0 100644
(file)
--- a/
cpu/src/fetch_stage_b.vhd
+++ b/
cpu/src/fetch_stage_b.vhd
@@
-53,9
+53,11
@@
begin
if (reset = RESET_VALUE) then
instr_r_addr <= (others => '0');
rom_ram <= ROM_USE;
if (reset = RESET_VALUE) then
instr_r_addr <= (others => '0');
rom_ram <= ROM_USE;
+ led2 <= '0';
elsif rising_edge(clk) then
instr_r_addr <= instr_r_addr_nxt;
rom_ram <= rom_ram_nxt;
elsif rising_edge(clk) then
instr_r_addr <= instr_r_addr_nxt;
rom_ram <= rom_ram_nxt;
+ led2 <= rom_ram_nxt;
end if;
end process;
end if;
end process;
@@
-121,7
+123,5
@@
begin
end process;
end process;
-led2 <= rom_ram;
-
end behav;
end behav;