-------------------------------------------------------------------------------------- Timing Analyzer Summary -------------------------------------------------------------------------------------- Type : Worst-case tco Slack : N/A Required Time : None Actual Time : 8.846 ns From : writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int To : bus_tx From Clock : sys_clk To Clock : -- Failed Paths : 0 Type : Clock Setup: 'sys_clk' Slack : N/A Required Time : None Actual Time : 49.70 MHz ( period = 20.119 ns ) From : decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 To : writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] From Clock : sys_clk To Clock : sys_clk Failed Paths : 0 Type : Total number of failed paths Slack : Required Time : Actual Time : From : To : From Clock : To Clock : Failed Paths : 0 --------------------------------------------------------------------------------------