-------------------------------------------------------------------------------------- Timing Analyzer Summary -------------------------------------------------------------------------------------- Type : Worst-case tsu Slack : N/A Required Time : None Actual Time : 18.145 ns From : sys_res To : execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero From Clock : -- To Clock : sys_clk Failed Paths : 0 Type : Worst-case tco Slack : N/A Required Time : None Actual Time : 10.677 ns From : writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int To : bus_tx From Clock : sys_clk To Clock : -- Failed Paths : 0 Type : Worst-case th Slack : N/A Required Time : None Actual Time : -5.628 ns From : bus_rx To : writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|sync[1] From Clock : -- To Clock : sys_clk Failed Paths : 0 Type : Clock Setup: 'sys_clk' Slack : N/A Required Time : None Actual Time : 36.95 MHz ( period = 27.067 ns ) From : writeback_stage:writeback_st|wb_reg.dmem_en To : execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero From Clock : sys_clk To Clock : sys_clk Failed Paths : 0 Type : Total number of failed paths Slack : Required Time : Actual Time : From : To : From Clock : To Clock : Failed Paths : 0 --------------------------------------------------------------------------------------