if {[file exists behav_work]} { vdel -all -lib behav_work } # create work library directory vlib behav_work # map directory to library name "work" vmap work behav_work #compile vhdl files vcom -work work ../../src/demo_pkg.vhd vcom -work work -cover bs ../../src/demo.vhd # compile testbench vcom -work work demo_tb.vhd # compile configuration file vcom -work work config_behav.vhd # start simulation vsim -coverage work.conf view -undock wave # add signals to waveform # add all testbench signals add wave * # add internal signals of unit under test add wave -divider DEMO add wave uut/counter add wave uut/ledstate # auto-run simulation run 50 us wave zoomfull