// Copyright (C) 1991-2007 Altera Corporation // Your use of Altera Corporation's design tools, logic functions // and other software and tools, and its AMPP partner logic // functions, and any output files from any of the foregoing // (including device programming or simulation files), and any // associated documentation or information are expressly subject // to the terms and conditions of the Altera Program License // Subscription Agreement, Altera MegaCore Function License // Agreement, or other applicable license agreement, including, // without limitation, that your use is for the sole purpose of // programming logic devices manufactured by Altera and sold by // Altera or its authorized distributors. Please refer to the // applicable agreement for further details. // // Device: Altera EP2C35F484C6 Package FBGA484 // // // This SDF file should be used for ModelSim (VHDL) only // (DELAYFILE (SDFVERSION "2.1") (DESIGN "demo_top") (DATE "03/30/2009 19:53:36") (VENDOR "Altera") (PROGRAM "Quartus II") (VERSION "Version 7.0 Build 33 02/05/2007 SJ Full Version") (DIVIDER .) (TIMESCALE 1 ps) (CELL (CELLTYPE "cycloneii_lcell_ff") (INSTANCE \\inst\|counter\[3\]\~I\\) (DELAY (ABSOLUTE (PORT clk (1557:1557:1557) (1559:1559:1559)) (PORT datain (84:84:84) (84:84:84)) (PORT sclr (6919:6919:6919) (7003:7003:7003)) (IOPATH (posedge clk) regout (250:250:250) (250:250:250)) ) ) (TIMINGCHECK (HOLD datain (posedge clk) (266:266:266)) (HOLD sclr (posedge clk) (266:266:266)) ) ) (CELL (CELLTYPE "cycloneii_lcell_ff") (INSTANCE \\inst\|counter\[6\]\~I\\) (DELAY (ABSOLUTE (PORT clk (1557:1557:1557) (1559:1559:1559)) (PORT datain (84:84:84) (84:84:84)) (PORT sclr (6919:6919:6919) (7003:7003:7003)) (IOPATH (posedge clk) regout (250:250:250) (250:250:250)) ) ) (TIMINGCHECK (HOLD datain (posedge clk) (266:266:266)) (HOLD sclr (posedge clk) (266:266:266)) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|add_sub_6_result_int\[4\]\~20_I\\) (DELAY (ABSOLUTE (PORT datab (258:258:258) (226:226:226)) (IOPATH datab combout (420:420:420) (420:420:420)) (IOPATH datab cout (393:393:393) (393:393:393)) (IOPATH datad combout (150:150:150) (150:150:150)) (IOPATH cin combout (410:410:410) (410:410:410)) (IOPATH cin cout (71:71:71) (71:71:71)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|add_sub_6_result_int\[5\]\~22_I\\) (DELAY (ABSOLUTE (PORT dataa (276:276:276) (238:238:238)) (IOPATH dataa combout (438:438:438) (438:438:438)) (IOPATH dataa cout (414:414:414) (414:414:414)) (IOPATH datad combout (150:150:150) (150:150:150)) (IOPATH cin combout (410:410:410) (410:410:410)) (IOPATH cin cout (71:71:71) (71:71:71)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|add_sub_6_result_int\[6\]\~24_I\\) (DELAY (ABSOLUTE (PORT datab (257:257:257) (224:224:224)) (IOPATH datab combout (420:420:420) (420:420:420)) (IOPATH datab cout (393:393:393) (393:393:393)) (IOPATH datad combout (150:150:150) (150:150:150)) (IOPATH cin combout (410:410:410) (410:410:410)) (IOPATH cin cout (71:71:71) (71:71:71)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|add_sub_7_result_int\[3\]\~22_I\\) (DELAY (ABSOLUTE (PORT dataa (272:272:272) (232:232:232)) (PORT datab (266:266:266) (236:236:236)) (IOPATH dataa combout (413:413:413) (413:413:413)) (IOPATH dataa cout (414:414:414) (414:414:414)) (IOPATH datab combout (393:393:393) (393:393:393)) (IOPATH datab cout (393:393:393) (393:393:393)) (IOPATH datad combout (150:150:150) (150:150:150)) (IOPATH cin combout (410:410:410) (410:410:410)) (IOPATH cin cout (71:71:71) (71:71:71)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|add_sub_7_result_int\[6\]\~28_I\\) (DELAY (ABSOLUTE (PORT dataa (685:685:685) (583:583:583)) (PORT datab (442:442:442) (377:377:377)) (IOPATH dataa combout (438:438:438) (438:438:438)) (IOPATH dataa cout (414:414:414) (414:414:414)) (IOPATH datab combout (420:420:420) (420:420:420)) (IOPATH datab cout (393:393:393) (393:393:393)) (IOPATH datad combout (150:150:150) (150:150:150)) (IOPATH cin combout (410:410:410) (410:410:410)) (IOPATH cin cout (71:71:71) (71:71:71)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[54\]\~25_I\\) (DELAY (ABSOLUTE (PORT datac (680:680:680) (629:629:629)) (PORT datad (432:432:432) (371:371:371)) (IOPATH datac combout (275:275:275) (275:275:275)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[53\]\~26_I\\) (DELAY (ABSOLUTE (PORT dataa (451:451:451) (378:378:378)) (PORT datad (524:524:524) (474:474:474)) (IOPATH dataa combout (438:438:438) (438:438:438)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[52\]\~27_I\\) (DELAY (ABSOLUTE (PORT datac (430:430:430) (363:363:363)) (PORT datad (519:519:519) (470:470:470)) (IOPATH datac combout (275:275:275) (275:275:275)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[50\]\~21_I\\) (DELAY (ABSOLUTE (PORT datac (663:663:663) (569:569:569)) (PORT datad (705:705:705) (625:625:625)) (IOPATH datac combout (271:271:271) (271:271:271)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[49\]\~22_I\\) (DELAY (ABSOLUTE (PORT datab (670:670:670) (576:576:576)) (PORT datad (705:705:705) (626:626:626)) (IOPATH datab combout (393:393:393) (393:393:393)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[59\]\~638_I\\) (DELAY (ABSOLUTE (PORT dataa (471:471:471) (404:404:404)) (PORT datab (446:446:446) (384:384:384)) (PORT datac (253:253:253) (219:219:219)) (PORT datad (271:271:271) (244:244:244)) (IOPATH dataa combout (438:438:438) (438:438:438)) (IOPATH datab combout (419:419:419) (419:419:419)) (IOPATH datac combout (275:275:275) (275:275:275)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[62\]\~641_I\\) (DELAY (ABSOLUTE (PORT dataa (689:689:689) (587:587:587)) (PORT datab (255:255:255) (224:224:224)) (PORT datac (441:441:441) (374:374:374)) (PORT datad (274:274:274) (247:247:247)) (IOPATH dataa combout (437:437:437) (437:437:437)) (IOPATH datab combout (420:420:420) (420:420:420)) (IOPATH datac combout (271:271:271) (271:271:271)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|ledstate_next\~434_I\\) (DELAY (ABSOLUTE (PORT datac (355:355:355) (329:329:329)) (PORT datad (1719:1719:1719) (1734:1734:1734)) (IOPATH datac combout (275:275:275) (275:275:275)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|ledstate_next\~435_I\\) (DELAY (ABSOLUTE (PORT dataa (386:386:386) (355:355:355)) (PORT datab (342:342:342) (313:313:313)) (PORT datac (287:287:287) (263:263:263)) (PORT datad (485:485:485) (474:474:474)) (IOPATH dataa combout (438:438:438) (438:438:438)) (IOPATH datab combout (420:420:420) (420:420:420)) (IOPATH datac combout (275:275:275) (275:275:275)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_asynch_io") (INSTANCE \\CLK\~I\\.asynch_inst) (DELAY (ABSOLUTE (IOPATH padio combout (979:979:979) (979:979:979)) ) ) ) (CELL (CELLTYPE "cycloneii_pll") (INSTANCE \\inst1\|altpll_component\|pll\\) (DELAY (ABSOLUTE (PORT inclk[0] (2013:2013:2013) (2005:2005:2005)) ) ) ) (CELL (CELLTYPE "cycloneii_clkctrl") (INSTANCE \\inst1\|altpll_component\|_clk0\~clkctrl_I\\) (DELAY (ABSOLUTE (PORT inclk[0] (1091:1091:1091) (1087:1087:1087)) ) ) ) (CELL (CELLTYPE "cycloneii_ena_reg") (INSTANCE \\inst1\|altpll_component\|_clk0\~clkctrl_I\\.extena0_reg) (DELAY (ABSOLUTE (PORT d (254:254:254) (254:254:254)) (PORT clk (0:0:0) (0:0:0)) (IOPATH (posedge clk) q (218:218:218) (218:218:218)) ) ) (TIMINGCHECK (SETUP d (posedge clk) (50:50:50)) (HOLD d (posedge clk) (100:100:100)) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Add0\~100_I\\) (DELAY (ABSOLUTE (PORT dataa (741:741:741) (648:648:648)) (IOPATH dataa combout (438:438:438) (438:438:438)) (IOPATH dataa cout (414:414:414) (414:414:414)) (IOPATH datad combout (150:150:150) (150:150:150)) (IOPATH cin combout (410:410:410) (410:410:410)) (IOPATH cin cout (71:71:71) (71:71:71)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Add0\~102_I\\) (DELAY (ABSOLUTE (PORT datab (713:713:713) (622:622:622)) (IOPATH datab combout (420:420:420) (420:420:420)) (IOPATH datab cout (393:393:393) (393:393:393)) (IOPATH datad combout (150:150:150) (150:150:150)) (IOPATH cin combout (410:410:410) (410:410:410)) (IOPATH cin cout (71:71:71) (71:71:71)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Add0\~104_I\\) (DELAY (ABSOLUTE (PORT dataa (735:735:735) (638:638:638)) (IOPATH dataa combout (438:438:438) (438:438:438)) (IOPATH dataa cout (414:414:414) (414:414:414)) (IOPATH datad combout (150:150:150) (150:150:150)) (IOPATH cin combout (410:410:410) (410:410:410)) (IOPATH cin cout (71:71:71) (71:71:71)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Add0\~106_I\\) (DELAY (ABSOLUTE (PORT dataa (736:736:736) (633:633:633)) (IOPATH dataa combout (438:438:438) (438:438:438)) (IOPATH dataa cout (414:414:414) (414:414:414)) (IOPATH datad combout (150:150:150) (150:150:150)) (IOPATH cin combout (410:410:410) (410:410:410)) (IOPATH cin cout (71:71:71) (71:71:71)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Add0\~108_I\\) (DELAY (ABSOLUTE (IOPATH cin combout (410:410:410) (410:410:410)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|add_sub_6_result_int\[3\]\~18_I\\) (DELAY (ABSOLUTE (PORT dataa (277:277:277) (240:240:240)) (IOPATH dataa combout (413:413:413) (413:413:413)) (IOPATH dataa cout (414:414:414) (414:414:414)) (IOPATH datad combout (150:150:150) (150:150:150)) (IOPATH cin combout (410:410:410) (410:410:410)) (IOPATH cin cout (71:71:71) (71:71:71)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|add_sub_6_result_int\[7\]\~26_I\\) (DELAY (ABSOLUTE (IOPATH cin combout (410:410:410) (410:410:410)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[48\]\~31_I\\) (DELAY (ABSOLUTE (PORT dataa (466:466:466) (440:440:440)) (PORT datad (522:522:522) (473:473:473)) (IOPATH dataa combout (438:438:438) (438:438:438)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[48\]\~23_I\\) (DELAY (ABSOLUTE (PORT dataa (464:464:464) (439:439:439)) (PORT datad (521:521:521) (474:474:474)) (IOPATH dataa combout (413:413:413) (413:413:413)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|add_sub_7_result_int\[1\]\~18_I\\) (DELAY (ABSOLUTE (PORT datac (247:247:247) (210:210:210)) (PORT datad (239:239:239) (206:206:206)) (IOPATH datac combout (242:242:242) (242:242:242)) (IOPATH datad combout (149:149:149) (149:149:149)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Add0\~96_I\\) (DELAY (ABSOLUTE (PORT dataa (505:505:505) (433:433:433)) (PORT datab (323:323:323) (292:292:292)) (IOPATH dataa combout (437:437:437) (437:437:437)) (IOPATH dataa cout (504:504:504) (504:504:504)) (IOPATH datab combout (420:420:420) (420:420:420)) (IOPATH datab cout (485:485:485) (485:485:485)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[54\]\~17_I\\) (DELAY (ABSOLUTE (PORT datab (441:441:441) (376:376:376)) (PORT datad (522:522:522) (475:475:475)) (IOPATH datab combout (393:393:393) (393:393:393)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[53\]\~18_I\\) (DELAY (ABSOLUTE (PORT datab (443:443:443) (379:379:379)) (PORT datad (515:515:515) (469:469:469)) (IOPATH datab combout (393:393:393) (393:393:393)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[52\]\~19_I\\) (DELAY (ABSOLUTE (PORT datab (434:434:434) (367:367:367)) (PORT datad (523:523:523) (473:473:473)) (IOPATH datab combout (393:393:393) (393:393:393)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|add_sub_6_result_int\[2\]\~16_I\\) (DELAY (ABSOLUTE (PORT datab (259:259:259) (228:228:228)) (IOPATH datab combout (420:420:420) (420:420:420)) (IOPATH datab cout (393:393:393) (393:393:393)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[50\]\~29_I\\) (DELAY (ABSOLUTE (PORT datac (667:667:667) (572:572:572)) (PORT datad (705:705:705) (626:626:626)) (IOPATH datac combout (275:275:275) (275:275:275)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|add_sub_7_result_int\[2\]\~20_I\\) (DELAY (ABSOLUTE (PORT dataa (263:263:263) (223:223:223)) (PORT datab (244:244:244) (211:211:211)) (IOPATH dataa combout (438:438:438) (438:438:438)) (IOPATH dataa cout (504:504:504) (504:504:504)) (IOPATH datab combout (420:420:420) (420:420:420)) (IOPATH datab cout (485:485:485) (485:485:485)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|add_sub_7_result_int\[4\]\~24_I\\) (DELAY (ABSOLUTE (PORT dataa (451:451:451) (377:377:377)) (PORT datab (263:263:263) (232:232:232)) (IOPATH dataa combout (438:438:438) (438:438:438)) (IOPATH dataa cout (414:414:414) (414:414:414)) (IOPATH datab combout (420:420:420) (420:420:420)) (IOPATH datab cout (393:393:393) (393:393:393)) (IOPATH datad combout (150:150:150) (150:150:150)) (IOPATH cin combout (410:410:410) (410:410:410)) (IOPATH cin cout (71:71:71) (71:71:71)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|add_sub_7_result_int\[5\]\~26_I\\) (DELAY (ABSOLUTE (PORT dataa (410:410:410) (376:376:376)) (PORT datab (441:441:441) (378:378:378)) (IOPATH dataa combout (438:438:438) (438:438:438)) (IOPATH dataa cout (414:414:414) (414:414:414)) (IOPATH datab combout (420:420:420) (420:420:420)) (IOPATH datab cout (393:393:393) (393:393:393)) (IOPATH datad combout (150:150:150) (150:150:150)) (IOPATH cin combout (410:410:410) (410:410:410)) (IOPATH cin cout (71:71:71) (71:71:71)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|add_sub_7_result_int\[7\]\~31_I\\) (DELAY (ABSOLUTE (PORT dataa (429:429:429) (399:399:399)) (PORT datab (427:427:427) (361:361:361)) (IOPATH dataa cout (414:414:414) (414:414:414)) (IOPATH datab cout (393:393:393) (393:393:393)) (IOPATH cin cout (71:71:71) (71:71:71)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|add_sub_7_result_int\[8\]\~32_I\\) (DELAY (ABSOLUTE (IOPATH cin combout (410:410:410) (410:410:410)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[57\]\~636_I\\) (DELAY (ABSOLUTE (PORT datab (431:431:431) (369:369:369)) (PORT datac (255:255:255) (219:219:219)) (PORT datad (682:682:682) (595:595:595)) (IOPATH datab combout (420:420:420) (420:420:420)) (IOPATH datac combout (271:271:271) (271:271:271)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_asynch_io") (INSTANCE \\RESET\~I\\.asynch_inst) (DELAY (ABSOLUTE (IOPATH padio combout (850:850:850) (850:850:850)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_ff") (INSTANCE \\inst\|counter\[1\]\~I\\) (DELAY (ABSOLUTE (PORT clk (1555:1555:1555) (1559:1559:1559)) (PORT datain (84:84:84) (84:84:84)) (PORT sclr (6914:6914:6914) (7001:7001:7001)) (IOPATH (posedge clk) regout (250:250:250) (250:250:250)) ) ) (TIMINGCHECK (HOLD datain (posedge clk) (266:266:266)) (HOLD sclr (posedge clk) (266:266:266)) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Add0\~98_I\\) (DELAY (ABSOLUTE (PORT datab (316:316:316) (283:283:283)) (IOPATH datab combout (420:420:420) (420:420:420)) (IOPATH datab cout (393:393:393) (393:393:393)) (IOPATH datad combout (150:150:150) (150:150:150)) (IOPATH cin combout (410:410:410) (410:410:410)) (IOPATH cin cout (71:71:71) (71:71:71)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[49\]\~30_I\\) (DELAY (ABSOLUTE (PORT datab (670:670:670) (576:576:576)) (PORT datad (706:706:706) (625:625:625)) (IOPATH datab combout (420:420:420) (420:420:420)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[58\]\~639_I\\) (DELAY (ABSOLUTE (PORT dataa (276:276:276) (238:238:238)) (PORT datac (703:703:703) (658:658:658)) (PORT datad (680:680:680) (592:592:592)) (IOPATH dataa combout (438:438:438) (438:438:438)) (IOPATH datac combout (275:275:275) (275:275:275)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_ff") (INSTANCE \\inst\|counter\[2\]\~I\\) (DELAY (ABSOLUTE (PORT clk (1555:1555:1555) (1559:1559:1559)) (PORT datain (84:84:84) (84:84:84)) (PORT sclr (6914:6914:6914) (7001:7001:7001)) (IOPATH (posedge clk) regout (250:250:250) (250:250:250)) ) ) (TIMINGCHECK (HOLD datain (posedge clk) (266:266:266)) (HOLD sclr (posedge clk) (266:266:266)) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[51\]\~28_I\\) (DELAY (ABSOLUTE (PORT datac (661:661:661) (567:567:567)) (PORT datad (706:706:706) (625:625:625)) (IOPATH datac combout (275:275:275) (275:275:275)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[51\]\~20_I\\) (DELAY (ABSOLUTE (PORT datac (442:442:442) (375:375:375)) (PORT datad (515:515:515) (470:470:470)) (IOPATH datac combout (271:271:271) (271:271:271)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[60\]\~642_I\\) (DELAY (ABSOLUTE (PORT dataa (469:469:469) (404:404:404)) (PORT datab (256:256:256) (226:226:226)) (PORT datac (908:908:908) (799:799:799)) (PORT datad (274:274:274) (247:247:247)) (IOPATH dataa combout (437:437:437) (437:437:437)) (IOPATH datab combout (420:420:420) (420:420:420)) (IOPATH datac combout (271:271:271) (271:271:271)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_ff") (INSTANCE \\inst\|counter\[4\]\~I\\) (DELAY (ABSOLUTE (PORT clk (1557:1557:1557) (1559:1559:1559)) (PORT datain (84:84:84) (84:84:84)) (PORT sclr (6919:6919:6919) (7003:7003:7003)) (IOPATH (posedge clk) regout (250:250:250) (250:250:250)) ) ) (TIMINGCHECK (HOLD datain (posedge clk) (266:266:266)) (HOLD sclr (posedge clk) (266:266:266)) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[61\]\~640_I\\) (DELAY (ABSOLUTE (PORT dataa (463:463:463) (399:399:399)) (PORT datab (444:444:444) (381:381:381)) (PORT datac (253:253:253) (219:219:219)) (PORT datad (273:273:273) (246:246:246)) (IOPATH dataa combout (438:438:438) (438:438:438)) (IOPATH datab combout (419:419:419) (419:419:419)) (IOPATH datac combout (275:275:275) (275:275:275)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_ff") (INSTANCE \\inst\|counter\[5\]\~I\\) (DELAY (ABSOLUTE (PORT clk (1557:1557:1557) (1559:1559:1559)) (PORT datain (84:84:84) (84:84:84)) (PORT sclr (6919:6919:6919) (7003:7003:7003)) (IOPATH (posedge clk) regout (250:250:250) (250:250:250)) ) ) (TIMINGCHECK (HOLD datain (posedge clk) (266:266:266)) (HOLD sclr (posedge clk) (266:266:266)) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|add_sub_7_result_int\[0\]\~34_I\\) (DELAY (ABSOLUTE (PORT datad (507:507:507) (450:450:450)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[56\]\~637_I\\) (DELAY (ABSOLUTE (PORT datab (427:427:427) (362:362:362)) (PORT datad (679:679:679) (592:592:592)) (IOPATH datab combout (420:420:420) (420:420:420)) (IOPATH datac combout (323:323:323) (323:323:323)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_ff") (INSTANCE \\inst\|counter\[0\]\~I\\) (DELAY (ABSOLUTE (PORT clk (1555:1555:1555) (1559:1559:1559)) (PORT datain (84:84:84) (84:84:84)) (PORT sclr (6914:6914:6914) (7001:7001:7001)) (IOPATH (posedge clk) regout (250:250:250) (250:250:250)) ) ) (TIMINGCHECK (HOLD datain (posedge clk) (266:266:266)) (HOLD sclr (posedge clk) (266:266:266)) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Equal1\~58_I\\) (DELAY (ABSOLUTE (PORT dataa (748:748:748) (656:656:656)) (PORT datab (513:513:513) (455:455:455)) (PORT datac (493:493:493) (428:428:428)) (PORT datad (471:471:471) (450:450:450)) (IOPATH dataa combout (398:398:398) (398:398:398)) (IOPATH datab combout (415:415:415) (415:415:415)) (IOPATH datac combout (275:275:275) (275:275:275)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|Equal1\~59_I\\) (DELAY (ABSOLUTE (PORT dataa (1013:1013:1013) (942:942:942)) (PORT datab (1390:1390:1390) (1216:1216:1216)) (PORT datac (735:735:735) (647:647:647)) (PORT datad (246:246:246) (217:217:217)) (IOPATH dataa combout (413:413:413) (413:413:413)) (IOPATH datab combout (371:371:371) (371:371:371)) (IOPATH datac combout (275:275:275) (275:275:275)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|knightlight\~1269_I\\) (DELAY (ABSOLUTE (PORT dataa (386:386:386) (355:355:355)) (PORT datac (364:364:364) (338:338:338)) (PORT datad (337:337:337) (311:311:311)) (IOPATH dataa combout (413:413:413) (413:413:413)) (IOPATH datac combout (271:271:271) (271:271:271)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|knightlight\~1270_I\\) (DELAY (ABSOLUTE (PORT datab (257:257:257) (227:227:227)) (PORT datad (1718:1718:1718) (1734:1734:1734)) (IOPATH datab combout (419:419:419) (419:419:419)) (IOPATH datac combout (323:323:323) (323:323:323)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_ff") (INSTANCE \\inst\|knightlight\[4\]\~I\\) (DELAY (ABSOLUTE (PORT clk (1507:1507:1507) (1511:1511:1511)) (PORT datain (84:84:84) (84:84:84)) (PORT sclr (7184:7184:7184) (7134:7134:7134)) (IOPATH (posedge clk) regout (250:250:250) (250:250:250)) ) ) (TIMINGCHECK (HOLD datain (posedge clk) (266:266:266)) (HOLD sclr (posedge clk) (266:266:266)) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|knightlight\~1271_I\\) (DELAY (ABSOLUTE (PORT datab (2137:2137:2137) (2036:2036:2036)) (PORT datac (367:367:367) (341:341:341)) (PORT datad (335:335:335) (308:308:308)) (IOPATH datab combout (420:420:420) (420:420:420)) (IOPATH datac combout (271:271:271) (271:271:271)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|knightlight\~1272_I\\) (DELAY (ABSOLUTE (PORT datab (1720:1720:1720) (1737:1737:1737)) (PORT datad (263:263:263) (235:235:235)) (IOPATH datab combout (393:393:393) (393:393:393)) (IOPATH datac combout (323:323:323) (323:323:323)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_ff") (INSTANCE \\inst\|knightlight\[3\]\~I\\) (DELAY (ABSOLUTE (PORT clk (1507:1507:1507) (1511:1511:1511)) (PORT datain (84:84:84) (84:84:84)) (PORT sclr (7184:7184:7184) (7134:7134:7134)) (IOPATH (posedge clk) regout (250:250:250) (250:250:250)) ) ) (TIMINGCHECK (HOLD datain (posedge clk) (266:266:266)) (HOLD sclr (posedge clk) (266:266:266)) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|knightlight\~1273_I\\) (DELAY (ABSOLUTE (PORT dataa (338:338:338) (302:302:302)) (PORT datab (2407:2407:2407) (2342:2342:2342)) (PORT datac (2220:2220:2220) (2030:2030:2030)) (IOPATH dataa combout (438:438:438) (438:438:438)) (IOPATH datab combout (420:420:420) (420:420:420)) (IOPATH datac combout (275:275:275) (275:275:275)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|knightlight\~1274_I\\) (DELAY (ABSOLUTE (PORT dataa (287:287:287) (251:251:251)) (PORT datad (240:240:240) (210:210:210)) (IOPATH dataa combout (413:413:413) (413:413:413)) (IOPATH datac combout (323:323:323) (323:323:323)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_ff") (INSTANCE \\inst\|knightlight\[2\]\~I\\) (DELAY (ABSOLUTE (PORT clk (1554:1554:1554) (1557:1557:1557)) (PORT datain (84:84:84) (84:84:84)) (PORT sload (7065:7065:7065) (7149:7149:7149)) (IOPATH (posedge clk) regout (250:250:250) (250:250:250)) ) ) (TIMINGCHECK (HOLD datain (posedge clk) (266:266:266)) (HOLD sload (posedge clk) (266:266:266)) (HOLD sdata (posedge clk) (266:266:266)) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|knightlight\~1277_I\\) (DELAY (ABSOLUTE (PORT dataa (283:283:283) (247:247:247)) (PORT datab (2206:2206:2206) (2010:2010:2010)) (PORT datad (514:514:514) (461:461:461)) (IOPATH dataa combout (410:410:410) (410:410:410)) (IOPATH datab combout (415:415:415) (415:415:415)) (IOPATH datac combout (323:323:323) (323:323:323)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_ff") (INSTANCE \\inst\|knightlight\[0\]\~I\\) (DELAY (ABSOLUTE (PORT clk (1554:1554:1554) (1557:1557:1557)) (PORT datain (84:84:84) (84:84:84)) (PORT sload (7065:7065:7065) (7149:7149:7149)) (IOPATH (posedge clk) regout (250:250:250) (250:250:250)) ) ) (TIMINGCHECK (HOLD datain (posedge clk) (266:266:266)) (HOLD sload (posedge clk) (266:266:266)) (HOLD sdata (posedge clk) (266:266:266)) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|knightlight\~1275_I\\) (DELAY (ABSOLUTE (PORT datab (310:310:310) (278:278:278)) (PORT datac (2223:2223:2223) (2032:2032:2032)) (PORT datad (319:319:319) (291:291:291)) (IOPATH datab combout (419:419:419) (419:419:419)) (IOPATH datac combout (275:275:275) (275:275:275)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|knightlight\~1276_I\\) (DELAY (ABSOLUTE (PORT dataa (284:284:284) (247:247:247)) (PORT datad (251:251:251) (221:221:221)) (IOPATH dataa combout (413:413:413) (413:413:413)) (IOPATH datac combout (323:323:323) (323:323:323)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_ff") (INSTANCE \\inst\|knightlight\[1\]\~I\\) (DELAY (ABSOLUTE (PORT clk (1554:1554:1554) (1557:1557:1557)) (PORT datain (84:84:84) (84:84:84)) (PORT sload (7065:7065:7065) (7149:7149:7149)) (IOPATH (posedge clk) regout (250:250:250) (250:250:250)) ) ) (TIMINGCHECK (HOLD datain (posedge clk) (266:266:266)) (HOLD sload (posedge clk) (266:266:266)) (HOLD sdata (posedge clk) (266:266:266)) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|ledstate_next\~431_I\\) (DELAY (ABSOLUTE (PORT datab (2138:2138:2138) (2036:2036:2036)) (PORT datac (335:335:335) (303:303:303)) (PORT datad (334:334:334) (308:308:308)) (IOPATH datab combout (419:419:419) (419:419:419)) (IOPATH datac combout (271:271:271) (271:271:271)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|ledstate_next\~432_I\\) (DELAY (ABSOLUTE (PORT dataa (376:376:376) (347:347:347)) (PORT datab (2137:2137:2137) (2036:2036:2036)) (PORT datac (1997:1997:1997) (1837:1837:1837)) (PORT datad (243:243:243) (213:213:213)) (IOPATH dataa combout (437:437:437) (437:437:437)) (IOPATH datab combout (419:419:419) (419:419:419)) (IOPATH datac combout (275:275:275) (275:275:275)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|ledstate_next\~433_I\\) (DELAY (ABSOLUTE (PORT datab (321:321:321) (290:290:290)) (PORT datad (2402:2402:2402) (2339:2339:2339)) (IOPATH datab combout (420:420:420) (420:420:420)) (IOPATH datad combout (149:149:149) (149:149:149)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|ledstate_next\~436_I\\) (DELAY (ABSOLUTE (PORT dataa (269:269:269) (230:230:230)) (PORT datab (247:247:247) (214:214:214)) (PORT datad (1903:1903:1903) (1731:1731:1731)) (IOPATH dataa combout (438:438:438) (438:438:438)) (IOPATH datab combout (420:420:420) (420:420:420)) (IOPATH datac combout (323:323:323) (323:323:323)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_ff") (INSTANCE \\inst\|ledstate\~I\\) (DELAY (ABSOLUTE (PORT clk (1507:1507:1507) (1511:1511:1511)) (PORT datain (84:84:84) (84:84:84)) (PORT sclr (7184:7184:7184) (7134:7134:7134)) (IOPATH (posedge clk) regout (250:250:250) (250:250:250)) ) ) (TIMINGCHECK (HOLD datain (posedge clk) (266:266:266)) (HOLD sclr (posedge clk) (266:266:266)) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|knightlight\~1267_I\\) (DELAY (ABSOLUTE (PORT datab (329:329:329) (299:299:299)) (PORT datac (375:375:375) (347:347:347)) (PORT datad (336:336:336) (310:310:310)) (IOPATH datab combout (419:419:419) (419:419:419)) (IOPATH datac combout (275:275:275) (275:275:275)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|knightlight\~1268_I\\) (DELAY (ABSOLUTE (PORT datab (1719:1719:1719) (1736:1736:1736)) (PORT datad (248:248:248) (219:219:219)) (IOPATH datab combout (393:393:393) (393:393:393)) (IOPATH datac combout (323:323:323) (323:323:323)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_ff") (INSTANCE \\inst\|knightlight\[5\]\~I\\) (DELAY (ABSOLUTE (PORT clk (1507:1507:1507) (1511:1511:1511)) (PORT datain (84:84:84) (84:84:84)) (PORT sclr (7184:7184:7184) (7134:7134:7134)) (IOPATH (posedge clk) regout (250:250:250) (250:250:250)) ) ) (TIMINGCHECK (HOLD datain (posedge clk) (266:266:266)) (HOLD sclr (posedge clk) (266:266:266)) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|knightlight\~1265_I\\) (DELAY (ABSOLUTE (PORT datab (341:341:341) (313:313:313)) (PORT datac (373:373:373) (346:346:346)) (PORT datad (485:485:485) (473:473:473)) (IOPATH datab combout (420:420:420) (420:420:420)) (IOPATH datac combout (271:271:271) (271:271:271)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|knightlight\~1266_I\\) (DELAY (ABSOLUTE (PORT datab (1722:1722:1722) (1739:1739:1739)) (PORT datad (247:247:247) (217:217:217)) (IOPATH datab combout (393:393:393) (393:393:393)) (IOPATH datac combout (323:323:323) (323:323:323)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_ff") (INSTANCE \\inst\|knightlight\[6\]\~I\\) (DELAY (ABSOLUTE (PORT clk (1507:1507:1507) (1511:1511:1511)) (PORT datain (84:84:84) (84:84:84)) (PORT sclr (7184:7184:7184) (7134:7134:7134)) (IOPATH (posedge clk) regout (250:250:250) (250:250:250)) ) ) (TIMINGCHECK (HOLD datain (posedge clk) (266:266:266)) (HOLD sclr (posedge clk) (266:266:266)) ) ) (CELL (CELLTYPE "cycloneii_lcell_comb") (INSTANCE \\inst\|knightlight\~1264_I\\) (DELAY (ABSOLUTE (PORT dataa (386:386:386) (354:354:354)) (PORT datab (330:330:330) (301:301:301)) (PORT datad (1719:1719:1719) (1735:1735:1735)) (IOPATH dataa combout (438:438:438) (438:438:438)) (IOPATH datab combout (419:419:419) (419:419:419)) (IOPATH datac combout (323:323:323) (323:323:323)) (IOPATH datad combout (150:150:150) (150:150:150)) ) ) ) (CELL (CELLTYPE "cycloneii_lcell_ff") (INSTANCE \\inst\|knightlight\[7\]\~I\\) (DELAY (ABSOLUTE (PORT clk (1507:1507:1507) (1511:1511:1511)) (PORT datain (84:84:84) (84:84:84)) (PORT sclr (7184:7184:7184) (7134:7134:7134)) (IOPATH (posedge clk) regout (250:250:250) (250:250:250)) ) ) (TIMINGCHECK (HOLD datain (posedge clk) (266:266:266)) (HOLD sclr (posedge clk) (266:266:266)) ) ) (CELL (CELLTYPE "cycloneii_asynch_io") (INSTANCE \\LEDS\[7\]\~I\\.asynch_inst) (DELAY (ABSOLUTE (PORT datain (4263:4263:4263) (4176:4176:4176)) (IOPATH datain padio (2632:2632:2632) (2632:2632:2632)) ) ) ) (CELL (CELLTYPE "cycloneii_asynch_io") (INSTANCE \\LEDS\[6\]\~I\\.asynch_inst) (DELAY (ABSOLUTE (PORT datain (3010:3010:3010) (2978:2978:2978)) (IOPATH datain padio (2642:2642:2642) (2642:2642:2642)) ) ) ) (CELL (CELLTYPE "cycloneii_asynch_io") (INSTANCE \\LEDS\[5\]\~I\\.asynch_inst) (DELAY (ABSOLUTE (PORT datain (3047:3047:3047) (3072:3072:3072)) (IOPATH datain padio (2632:2632:2632) (2632:2632:2632)) ) ) ) (CELL (CELLTYPE "cycloneii_asynch_io") (INSTANCE \\LEDS\[4\]\~I\\.asynch_inst) (DELAY (ABSOLUTE (PORT datain (4383:4383:4383) (4424:4424:4424)) (IOPATH datain padio (2642:2642:2642) (2642:2642:2642)) ) ) ) (CELL (CELLTYPE "cycloneii_asynch_io") (INSTANCE \\LEDS\[3\]\~I\\.asynch_inst) (DELAY (ABSOLUTE (PORT datain (3538:3538:3538) (3534:3534:3534)) (IOPATH datain padio (2642:2642:2642) (2642:2642:2642)) ) ) ) (CELL (CELLTYPE "cycloneii_asynch_io") (INSTANCE \\LEDS\[2\]\~I\\.asynch_inst) (DELAY (ABSOLUTE (PORT datain (6134:6134:6134) (6236:6236:6236)) (IOPATH datain padio (2632:2632:2632) (2632:2632:2632)) ) ) ) (CELL (CELLTYPE "cycloneii_asynch_io") (INSTANCE \\LEDS\[1\]\~I\\.asynch_inst) (DELAY (ABSOLUTE (PORT datain (5949:5949:5949) (6019:6019:6019)) (IOPATH datain padio (2632:2632:2632) (2632:2632:2632)) ) ) ) (CELL (CELLTYPE "cycloneii_asynch_io") (INSTANCE \\LEDS\[0\]\~I\\.asynch_inst) (DELAY (ABSOLUTE (PORT datain (6268:6268:6268) (6365:6365:6365)) (IOPATH datain padio (2622:2622:2622) (2622:2622:2622)) ) ) ) )