library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.common_pkg.all; use work.extension_pkg.all; use work.extension_imp_pkg.all; entity extension_lcd_8 is generic ( RESET_VALUE : std_logic); port( --System inputs clk : in std_logic; reset : in std_logic; -- general extension interface ext_reg : in extmod_rec; data_out : out gp_register_t; LCD_DATA : out std_logic_vector(7 downto 0); LCD_BLON : out std_logic; LCD_RW : out std_logic; LCD_EN : out std_logic; LCD_RS : out std_logic; LCD_ON : out std_logic ); end extension_lcd_8;