library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.common_pkg.all; use work.core_pkg.all; entity core_top is port( --System input pins sys_clk : in std_logic; sys_res : in std_logic; to_next_stage : out dec_op ); end core_top; architecture behav of core_top is signal jump_result_pin : instruction_addr_t; signal prediction_result_pin : instruction_addr_t; signal branch_prediction_bit_pin : std_logic; signal alu_jump_bit_pin : std_logic; signal instruction_pin : instruction_word_t; signal reg_w_addr_pin : std_logic_vector(REG_ADDR_WIDTH-1 downto 0); signal reg_wr_data_pin : gp_register_t; signal reg_we_pin : std_logic; -- signal reg1_rd_data_pin : gp_register_t; -- signal reg2_rd_data_pin : gp_register_t; begin fetch_st : fetch_stage generic map ( '0', '1' ) port map ( --System inputs clk => sys_clk, --: in std_logic; reset => sys_res, --: in std_logic; --Data inputs jump_result => jump_result_pin, --: in instruction_addr_t; prediction_result => prediction_result_pin, --: in instruction_addr_t; branch_prediction_bit => branch_prediction_bit_pin, --: in std_logic; alu_jump_bit => alu_jump_bit_pin, --: in std_logic; --Data outputs instruction => instruction_pin --: out instruction_word_t ); decode_st : decode_stage generic map ( -- active reset value '0', -- active logic value '1' ) port map ( --System inputs clk => sys_clk, --: in std_logic; reset => sys_res, -- : in std_logic; --Data inputs instruction => instruction_pin, --: in instruction_word_t; reg_w_addr => reg_w_addr_pin, --: in std_logic_vector(REG_ADDR_WIDTH-1 downto 0); reg_wr_data => reg_wr_data_pin, --: in gp_register_t; reg_we => reg_we_pin, --: in std_logic; --Data outputs branch_prediction_res => prediction_result_pin, --: instruction_word_t; branch_prediction_bit => branch_prediction_bit_pin, --: std_logic to_next_stage => to_next_stage ); --init : process(all) --begin jump_result_pin <= (others => '0'); alu_jump_bit_pin <= '0'; reg_w_addr_pin <= (others => '0'); reg_wr_data_pin <= (others => '0'); reg_we_pin <= '0'; --end process; end behav;