ls # Control # doc # dtas # DTFormat.hi # DTFormat.hs # DTFormat.o # DT.hi # DT.hs # DT.o # Expr_eval.hi # Expr_eval.hs # Expr_eval.o # Main.hi # Main.hs # Main.o # Makefile # notes # Text # transcript # tst pwd # /home/stefan/processor/calu/3a_asm cd .. cd cpu/sim # reading modelsim.ini ls # modelsim.ini # testcore1.do # testcore.do # transcript # vsim.wlf # wave.do # work do testcore.do # ** Warning: (vlib-34) Library already exists at "work". # Modifying modelsim.ini # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package mem_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r_w_ram # -- Loading entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling entity r2_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r2_w_ram # -- Loading entity r2_w_ram # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity rom # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of rom # -- Loading entity rom # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package common_pkg # -- Compiling package body common_pkg # -- Loading package common_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package core_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_uart_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Compiling entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture behav of extension_uart # -- Loading entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_7seg_pkg # -- Compiling package body extension_7seg_pkg # -- Loading package extension_7seg_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_7seg_pkg # -- Compiling entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of extension_7seg # -- Loading entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_tx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Loading package core_pkg # -- Compiling architecture beh of rs232_rx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav_d of decoder # -- Loading entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of fetch_stage # -- Loading entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav of decode_stage # -- Loading entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package alu_pkg # -- Compiling package body alu_pkg # -- Loading package alu_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture add_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture and_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture or_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture xor_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture shift_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity alu # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behaviour of alu # -- Loading entity alu # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of extension_gpm # -- Loading entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behav of execute_stage # -- Loading entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling entity writeback_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of writeback_stage # -- Loading entity writeback_stage # ** Warning: ../src/writeback_stage_b.vhd(298): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(314): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(332): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(345): Case choice must be a locally static expression. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity pipeline_tb # -- Compiling architecture behavior of pipeline_tb # -- Compiling configuration pipeline_conf_beh # -- Loading entity pipeline_tb # -- Loading architecture behavior of pipeline_tb # -- Loading entity fetch_stage # -- Loading entity decode_stage # -- Loading package alu_pkg # -- Loading entity execute_stage # -- Loading entity writeback_stage # vsim -t ns work.pipeline_conf_beh # Loading std.standard # Loading ieee.std_logic_1164(body) # Loading ieee.numeric_std(body) # Loading work.common_pkg(body) # Loading work.extension_pkg # Loading work.core_pkg # Loading work.alu_pkg(body) # Loading work.pipeline_conf_beh # Loading work.pipeline_tb(behavior) # Loading work.mem_pkg # Loading work.fetch_stage(behav) # Loading work.rom(behaviour) # Loading work.decode_stage(behav) # Loading work.r2_w_ram(behaviour) # Loading work.decoder(behav_d) # Loading work.execute_stage(behav) # Loading work.alu(behaviour) # Loading work.exec_op(add_op) # Loading work.exec_op(and_op) # Loading work.exec_op(or_op) # Loading work.exec_op(xor_op) # Loading work.exec_op(shift_op) # Loading work.extension_gpm(behav) # Loading work.extension_uart_pkg # Loading work.extension_7seg_pkg(body) # Loading work.writeback_stage(behav) # Loading work.r_w_ram(behaviour) # Loading work.extension_uart(behav) # Loading ieee.std_logic_arith(body) # Loading ieee.std_logic_unsigned(body) # Loading work.rs232_tx(beh) # Loading work.rs232_rx(beh) # Loading work.extension_7seg(behav) # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/writeback_st # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 1 Instance: /pipeline_tb/writeback_st # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 20 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram run run run run do testcore.do # ** Warning: (vlib-34) Library already exists at "work". # Modifying modelsim.ini # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package mem_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r_w_ram # -- Loading entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling entity r2_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r2_w_ram # -- Loading entity r2_w_ram # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity rom # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of rom # -- Loading entity rom # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package common_pkg # -- Compiling package body common_pkg # -- Loading package common_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package core_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_uart_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Compiling entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture behav of extension_uart # -- Loading entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_7seg_pkg # -- Compiling package body extension_7seg_pkg # -- Loading package extension_7seg_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_7seg_pkg # -- Compiling entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of extension_7seg # -- Loading entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_tx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Loading package core_pkg # -- Compiling architecture beh of rs232_rx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav_d of decoder # -- Loading entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of fetch_stage # -- Loading entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav of decode_stage # -- Loading entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package alu_pkg # -- Compiling package body alu_pkg # -- Loading package alu_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture add_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture and_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture or_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture xor_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture shift_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity alu # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behaviour of alu # -- Loading entity alu # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of extension_gpm # -- Loading entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behav of execute_stage # -- Loading entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling entity writeback_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of writeback_stage # -- Loading entity writeback_stage # ** Warning: ../src/writeback_stage_b.vhd(298): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(314): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(332): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(345): Case choice must be a locally static expression. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity pipeline_tb # -- Compiling architecture behavior of pipeline_tb # -- Compiling configuration pipeline_conf_beh # -- Loading entity pipeline_tb # -- Loading architecture behavior of pipeline_tb # -- Loading entity fetch_stage # -- Loading entity decode_stage # -- Loading package alu_pkg # -- Loading entity execute_stage # -- Loading entity writeback_stage # vsim -t ns work.pipeline_conf_beh # Loading std.standard # Loading ieee.std_logic_1164(body) # Loading ieee.numeric_std(body) # Loading work.common_pkg(body) # Loading work.extension_pkg # Loading work.core_pkg # Loading work.alu_pkg(body) # Loading work.pipeline_conf_beh # Loading work.pipeline_tb(behavior) # Loading work.mem_pkg # Loading work.fetch_stage(behav) # Loading work.rom(behaviour) # Loading work.decode_stage(behav) # Loading work.r2_w_ram(behaviour) # Loading work.decoder(behav_d) # Loading work.execute_stage(behav) # Loading work.alu(behaviour) # Loading work.exec_op(add_op) # Loading work.exec_op(and_op) # Loading work.exec_op(or_op) # Loading work.exec_op(xor_op) # Loading work.exec_op(shift_op) # Loading work.extension_gpm(behav) # Loading work.extension_uart_pkg # Loading work.extension_7seg_pkg(body) # Loading work.writeback_stage(behav) # Loading work.r_w_ram(behaviour) # Loading work.extension_uart(behav) # Loading ieee.std_logic_arith(body) # Loading ieee.std_logic_unsigned(body) # Loading work.rs232_tx(beh) # Loading work.rs232_rx(beh) # Loading work.extension_7seg(behav) # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/writeback_st # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 1 Instance: /pipeline_tb/writeback_st # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 20 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram do testcore.do # ** Warning: (vlib-34) Library already exists at "work". # Modifying modelsim.ini # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package mem_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r_w_ram # -- Loading entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling entity r2_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r2_w_ram # -- Loading entity r2_w_ram # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity rom # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of rom # -- Loading entity rom # ** Error: ../src/rom_b.vhd(94): near "1": expecting "WHEN" # ** Error: ../src/rom_b.vhd(94): (vcom-1136) Unknown identifier "ed000000". # ** Error: ../src/rom_b.vhd(94): near "r0": expecting "<=" or ":=" # ** Warning: [4] ../src/rom_b.vhd(94): (vcom-1207) An abstract literal and an identifier must have a separator between them. # ** Error: ../src/rom_b.vhd(95): (vcom-1136) Unknown identifier "ed0d5e68". # ** Error: ../src/rom_b.vhd(95): near "r1": expecting "<=" or ":=" # ** Warning: [4] ../src/rom_b.vhd(95): (vcom-1207) An abstract literal and an identifier must have a separator between them. # ** Error: ../src/rom_b.vhd(96): (vcom-1136) Unknown identifier "e9880000". # ** Error: ../src/rom_b.vhd(96): near "r1": expecting "<=" or ":=" # ** Warning: [4] ../src/rom_b.vhd(97): (vcom-1207) An abstract literal and an identifier must have a separator between them. # ** Error: ../src/rom_b.vhd(97): (vcom-1136) Unknown identifier "e9100000". # ** Error: ../src/rom_b.vhd(97): near "r2": expecting "<=" or ":=" # ** Error: ../src/rom_b.vhd(98): (vcom-1136) Unknown identifier "e9180001". # ** Error: ../src/rom_b.vhd(98): near "r3": expecting "<=" or ":=" # ** Error: ../src/rom_b.vhd(99): (vcom-1136) Unknown identifier "ed190080". # ** Error: ../src/rom_b.vhd(99): near "r3": expecting "<=" or ":=" # ** Warning: [4] ../src/rom_b.vhd(99): (vcom-1207) An abstract literal and an identifier must have a separator between them. # ** Error: ../src/rom_b.vhd(100): (vcom-1136) Unknown identifier "e7200000". # ** Error: ../src/rom_b.vhd(100): near "r4": expecting "<=" or ":=" # ** Warning: [4] ../src/rom_b.vhd(101): (vcom-1207) An abstract literal and an identifier must have a separator between them. # ** Error: ../src/rom_b.vhd(101): (vcom-1136) Unknown identifier "e7a18000". # ** Error: ../src/rom_b.vhd(101): near "r4": expecting "<=" or ":=" # ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed. # Error in macro ./testcore.do line 10 # /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed. # while executing # "vcom -work work ../src/rom_b.vhd" do testcore.do # ** Warning: (vlib-34) Library already exists at "work". # Modifying modelsim.ini # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package mem_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r_w_ram # -- Loading entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling entity r2_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r2_w_ram # -- Loading entity r2_w_ram # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity rom # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of rom # -- Loading entity rom # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package common_pkg # -- Compiling package body common_pkg # -- Loading package common_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package core_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_uart_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Compiling entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture behav of extension_uart # -- Loading entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_7seg_pkg # -- Compiling package body extension_7seg_pkg # -- Loading package extension_7seg_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_7seg_pkg # -- Compiling entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of extension_7seg # -- Loading entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_tx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Loading package core_pkg # -- Compiling architecture beh of rs232_rx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav_d of decoder # -- Loading entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of fetch_stage # -- Loading entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav of decode_stage # -- Loading entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package alu_pkg # -- Compiling package body alu_pkg # -- Loading package alu_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture add_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture and_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture or_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture xor_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture shift_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity alu # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behaviour of alu # -- Loading entity alu # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of extension_gpm # -- Loading entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behav of execute_stage # -- Loading entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling entity writeback_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of writeback_stage # -- Loading entity writeback_stage # ** Warning: ../src/writeback_stage_b.vhd(298): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(314): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(332): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(345): Case choice must be a locally static expression. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity pipeline_tb # -- Compiling architecture behavior of pipeline_tb # -- Compiling configuration pipeline_conf_beh # -- Loading entity pipeline_tb # -- Loading architecture behavior of pipeline_tb # -- Loading entity fetch_stage # -- Loading entity decode_stage # -- Loading package alu_pkg # -- Loading entity execute_stage # -- Loading entity writeback_stage # vsim -t ns work.pipeline_conf_beh # Loading std.standard # Loading ieee.std_logic_1164(body) # Loading ieee.numeric_std(body) # Loading work.common_pkg(body) # Loading work.extension_pkg # Loading work.core_pkg # Loading work.alu_pkg(body) # Loading work.pipeline_conf_beh # Loading work.pipeline_tb(behavior) # Loading work.mem_pkg # Loading work.fetch_stage(behav) # Loading work.rom(behaviour) # Loading work.decode_stage(behav) # Loading work.r2_w_ram(behaviour) # Loading work.decoder(behav_d) # Loading work.execute_stage(behav) # Loading work.alu(behaviour) # Loading work.exec_op(add_op) # Loading work.exec_op(and_op) # Loading work.exec_op(or_op) # Loading work.exec_op(xor_op) # Loading work.exec_op(shift_op) # Loading work.extension_gpm(behav) # Loading work.extension_uart_pkg # Loading work.extension_7seg_pkg(body) # Loading work.writeback_stage(behav) # Loading work.r_w_ram(behaviour) # Loading work.extension_uart(behav) # Loading ieee.std_logic_arith(body) # Loading ieee.std_logic_unsigned(body) # Loading work.rs232_tx(beh) # Loading work.rs232_rx(beh) # Loading work.extension_7seg(behav) # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/writeback_st # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 1 Instance: /pipeline_tb/writeback_st # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 20 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram do testcore.do # ** Warning: (vlib-34) Library already exists at "work". # Modifying modelsim.ini # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package mem_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r_w_ram # -- Loading entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling entity r2_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r2_w_ram # -- Loading entity r2_w_ram # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity rom # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of rom # -- Loading entity rom # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package common_pkg # -- Compiling package body common_pkg # -- Loading package common_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package core_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_uart_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Compiling entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture behav of extension_uart # -- Loading entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_7seg_pkg # -- Compiling package body extension_7seg_pkg # -- Loading package extension_7seg_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_7seg_pkg # -- Compiling entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of extension_7seg # -- Loading entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_tx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Loading package core_pkg # -- Compiling architecture beh of rs232_rx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav_d of decoder # -- Loading entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of fetch_stage # -- Loading entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav of decode_stage # -- Loading entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package alu_pkg # -- Compiling package body alu_pkg # -- Loading package alu_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture add_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture and_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture or_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture xor_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture shift_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity alu # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behaviour of alu # -- Loading entity alu # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of extension_gpm # -- Loading entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behav of execute_stage # -- Loading entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling entity writeback_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of writeback_stage # -- Loading entity writeback_stage # ** Warning: ../src/writeback_stage_b.vhd(298): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(314): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(332): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(345): Case choice must be a locally static expression. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity pipeline_tb # -- Compiling architecture behavior of pipeline_tb # -- Compiling configuration pipeline_conf_beh # -- Loading entity pipeline_tb # -- Loading architecture behavior of pipeline_tb # -- Loading entity fetch_stage # -- Loading entity decode_stage # -- Loading package alu_pkg # -- Loading entity execute_stage # -- Loading entity writeback_stage # vsim -t ns work.pipeline_conf_beh # Loading std.standard # Loading ieee.std_logic_1164(body) # Loading ieee.numeric_std(body) # Loading work.common_pkg(body) # Loading work.extension_pkg # Loading work.core_pkg # Loading work.alu_pkg(body) # Loading work.pipeline_conf_beh # Loading work.pipeline_tb(behavior) # Loading work.mem_pkg # Loading work.fetch_stage(behav) # Loading work.rom(behaviour) # Loading work.decode_stage(behav) # Loading work.r2_w_ram(behaviour) # Loading work.decoder(behav_d) # Loading work.execute_stage(behav) # Loading work.alu(behaviour) # Loading work.exec_op(add_op) # Loading work.exec_op(and_op) # Loading work.exec_op(or_op) # Loading work.exec_op(xor_op) # Loading work.exec_op(shift_op) # Loading work.extension_gpm(behav) # Loading work.extension_uart_pkg # Loading work.extension_7seg_pkg(body) # Loading work.writeback_stage(behav) # Loading work.r_w_ram(behaviour) # Loading work.extension_uart(behav) # Loading ieee.std_logic_arith(body) # Loading ieee.std_logic_unsigned(body) # Loading work.rs232_tx(beh) # Loading work.rs232_rx(beh) # Loading work.extension_7seg(behav) # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/writeback_st # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 1 Instance: /pipeline_tb/writeback_st # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 20 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram do testcore.do # ** Warning: (vlib-34) Library already exists at "work". # Modifying modelsim.ini # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package mem_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r_w_ram # -- Loading entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling entity r2_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r2_w_ram # -- Loading entity r2_w_ram # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity rom # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of rom # -- Loading entity rom # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package common_pkg # -- Compiling package body common_pkg # -- Loading package common_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package core_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_uart_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Compiling entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture behav of extension_uart # -- Loading entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_7seg_pkg # -- Compiling package body extension_7seg_pkg # -- Loading package extension_7seg_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_7seg_pkg # -- Compiling entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of extension_7seg # -- Loading entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_tx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Loading package core_pkg # -- Compiling architecture beh of rs232_rx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav_d of decoder # -- Loading entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of fetch_stage # -- Loading entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav of decode_stage # -- Loading entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package alu_pkg # -- Compiling package body alu_pkg # -- Loading package alu_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture add_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture and_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture or_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture xor_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture shift_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity alu # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behaviour of alu # -- Loading entity alu # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of extension_gpm # -- Loading entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behav of execute_stage # -- Loading entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling entity writeback_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of writeback_stage # -- Loading entity writeback_stage # ** Warning: ../src/writeback_stage_b.vhd(298): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(314): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(332): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(345): Case choice must be a locally static expression. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity pipeline_tb # -- Compiling architecture behavior of pipeline_tb # -- Compiling configuration pipeline_conf_beh # -- Loading entity pipeline_tb # -- Loading architecture behavior of pipeline_tb # -- Loading entity fetch_stage # -- Loading entity decode_stage # -- Loading package alu_pkg # -- Loading entity execute_stage # -- Loading entity writeback_stage # vsim -t ns work.pipeline_conf_beh # Loading std.standard # Loading ieee.std_logic_1164(body) # Loading ieee.numeric_std(body) # Loading work.common_pkg(body) # Loading work.extension_pkg # Loading work.core_pkg # Loading work.alu_pkg(body) # Loading work.pipeline_conf_beh # Loading work.pipeline_tb(behavior) # Loading work.mem_pkg # Loading work.fetch_stage(behav) # Loading work.rom(behaviour) # Loading work.decode_stage(behav) # Loading work.r2_w_ram(behaviour) # Loading work.decoder(behav_d) # Loading work.execute_stage(behav) # Loading work.alu(behaviour) # Loading work.exec_op(add_op) # Loading work.exec_op(and_op) # Loading work.exec_op(or_op) # Loading work.exec_op(xor_op) # Loading work.exec_op(shift_op) # Loading work.extension_gpm(behav) # Loading work.extension_uart_pkg # Loading work.extension_7seg_pkg(body) # Loading work.writeback_stage(behav) # Loading work.r_w_ram(behaviour) # Loading work.extension_uart(behav) # Loading ieee.std_logic_arith(body) # Loading ieee.std_logic_unsigned(body) # Loading work.rs232_tx(beh) # Loading work.rs232_rx(beh) # Loading work.extension_7seg(behav) # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/writeback_st # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 1 Instance: /pipeline_tb/writeback_st # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 20 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram do testcore.do # ** Warning: (vlib-34) Library already exists at "work". # Modifying modelsim.ini # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package mem_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r_w_ram # -- Loading entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity r_w_ram_be # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r_w_ram_be # -- Loading entity r_w_ram_be # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling entity r2_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r2_w_ram # -- Loading entity r2_w_ram # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity rom # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of rom # -- Loading entity rom # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package common_pkg # -- Compiling package body common_pkg # -- Loading package common_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package core_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_uart_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Compiling entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture behav of extension_uart # -- Loading entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_7seg_pkg # -- Compiling package body extension_7seg_pkg # -- Loading package extension_7seg_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_7seg_pkg # -- Compiling entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of extension_7seg # -- Loading entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_tx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Loading package core_pkg # -- Compiling architecture beh of rs232_rx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav_d of decoder # -- Loading entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of fetch_stage # -- Loading entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav of decode_stage # -- Loading entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package alu_pkg # -- Compiling package body alu_pkg # -- Loading package alu_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture add_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture and_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture or_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture xor_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture shift_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity alu # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behaviour of alu # -- Loading entity alu # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of extension_gpm # -- Loading entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behav of execute_stage # -- Loading entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling entity writeback_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of writeback_stage # -- Loading entity writeback_stage # ** Warning: ../src/writeback_stage_b.vhd(298): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(314): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(332): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(345): Case choice must be a locally static expression. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity pipeline_tb # -- Compiling architecture behavior of pipeline_tb # -- Compiling configuration pipeline_conf_beh # -- Loading entity pipeline_tb # -- Loading architecture behavior of pipeline_tb # -- Loading entity fetch_stage # -- Loading entity decode_stage # -- Loading package alu_pkg # -- Loading entity execute_stage # -- Loading entity writeback_stage # vsim -t ns work.pipeline_conf_beh # Loading std.standard # Loading ieee.std_logic_1164(body) # Loading ieee.numeric_std(body) # Loading work.common_pkg(body) # Loading work.extension_pkg # Loading work.core_pkg # Loading work.alu_pkg(body) # Loading work.pipeline_conf_beh # Loading work.pipeline_tb(behavior) # Loading work.mem_pkg # Loading work.fetch_stage(behav) # Loading work.rom(behaviour) # Loading work.decode_stage(behav) # Loading work.r2_w_ram(behaviour) # Loading work.decoder(behav_d) # Loading work.execute_stage(behav) # Loading work.alu(behaviour) # Loading work.exec_op(add_op) # Loading work.exec_op(and_op) # Loading work.exec_op(or_op) # Loading work.exec_op(xor_op) # Loading work.exec_op(shift_op) # Loading work.extension_gpm(behav) # Loading work.extension_uart_pkg # Loading work.extension_7seg_pkg(body) # Loading work.writeback_stage(behav) # Loading work.r_w_ram_be(behaviour) # Loading work.extension_uart(behav) # Loading ieee.std_logic_arith(body) # Loading ieee.std_logic_unsigned(body) # Loading work.rs232_tx(beh) # Loading work.rs232_rx(beh) # Loading work.extension_7seg(behav) # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/writeback_st # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 1 Instance: /pipeline_tb/writeback_st # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 20 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram do testcore.do # ** Warning: (vlib-34) Library already exists at "work". # Modifying modelsim.ini # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package mem_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r_w_ram # -- Loading entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity r_w_ram_be # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r_w_ram_be # -- Loading entity r_w_ram_be # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling entity r2_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r2_w_ram # -- Loading entity r2_w_ram # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity rom # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of rom # -- Loading entity rom # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package common_pkg # -- Compiling package body common_pkg # -- Loading package common_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package core_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_uart_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Compiling entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture behav of extension_uart # -- Loading entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_7seg_pkg # -- Compiling package body extension_7seg_pkg # -- Loading package extension_7seg_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_7seg_pkg # -- Compiling entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of extension_7seg # -- Loading entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_tx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Loading package core_pkg # -- Compiling architecture beh of rs232_rx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav_d of decoder # -- Loading entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of fetch_stage # -- Loading entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav of decode_stage # -- Loading entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package alu_pkg # -- Compiling package body alu_pkg # -- Loading package alu_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture add_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture and_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture or_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture xor_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture shift_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity alu # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behaviour of alu # -- Loading entity alu # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of extension_gpm # -- Loading entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behav of execute_stage # -- Loading entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling entity writeback_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of writeback_stage # -- Loading entity writeback_stage # ** Warning: ../src/writeback_stage_b.vhd(307): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(323): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(341): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(354): Case choice must be a locally static expression. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity pipeline_tb # -- Compiling architecture behavior of pipeline_tb # -- Compiling configuration pipeline_conf_beh # -- Loading entity pipeline_tb # -- Loading architecture behavior of pipeline_tb # -- Loading entity fetch_stage # -- Loading entity decode_stage # -- Loading package alu_pkg # -- Loading entity execute_stage # -- Loading entity writeback_stage # vsim -t ns work.pipeline_conf_beh # Loading std.standard # Loading ieee.std_logic_1164(body) # Loading ieee.numeric_std(body) # Loading work.common_pkg(body) # Loading work.extension_pkg # Loading work.core_pkg # Loading work.alu_pkg(body) # Loading work.pipeline_conf_beh # Loading work.pipeline_tb(behavior) # Loading work.mem_pkg # Loading work.fetch_stage(behav) # Loading work.rom(behaviour) # Loading work.decode_stage(behav) # Loading work.r2_w_ram(behaviour) # Loading work.decoder(behav_d) # Loading work.execute_stage(behav) # Loading work.alu(behaviour) # Loading work.exec_op(add_op) # Loading work.exec_op(and_op) # Loading work.exec_op(or_op) # Loading work.exec_op(xor_op) # Loading work.exec_op(shift_op) # Loading work.extension_gpm(behav) # Loading work.extension_uart_pkg # Loading work.extension_7seg_pkg(body) # Loading work.writeback_stage(behav) # Loading work.r_w_ram_be(behaviour) # Loading work.extension_uart(behav) # Loading ieee.std_logic_arith(body) # Loading ieee.std_logic_unsigned(body) # Loading work.rs232_tx(beh) # Loading work.rs232_rx(beh) # Loading work.extension_7seg(behav) # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/writeback_st # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 1 Instance: /pipeline_tb/writeback_st # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 20 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram do testcore.do # ** Warning: (vlib-34) Library already exists at "work". # Modifying modelsim.ini # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package mem_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r_w_ram # -- Loading entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity r_w_ram_be # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r_w_ram_be # -- Loading entity r_w_ram_be # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling entity r2_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r2_w_ram # -- Loading entity r2_w_ram # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity rom # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of rom # -- Loading entity rom # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package common_pkg # -- Compiling package body common_pkg # -- Loading package common_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package core_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_uart_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Compiling entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture behav of extension_uart # -- Loading entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_7seg_pkg # -- Compiling package body extension_7seg_pkg # -- Loading package extension_7seg_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_7seg_pkg # -- Compiling entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of extension_7seg # -- Loading entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_tx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Loading package core_pkg # -- Compiling architecture beh of rs232_rx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav_d of decoder # -- Loading entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of fetch_stage # -- Loading entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav of decode_stage # -- Loading entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package alu_pkg # -- Compiling package body alu_pkg # -- Loading package alu_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture add_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture and_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture or_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture xor_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture shift_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity alu # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behaviour of alu # -- Loading entity alu # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of extension_gpm # -- Loading entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behav of execute_stage # -- Loading entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling entity writeback_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of writeback_stage # -- Loading entity writeback_stage # ** Warning: ../src/writeback_stage_b.vhd(307): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(323): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(341): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(354): Case choice must be a locally static expression. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity pipeline_tb # -- Compiling architecture behavior of pipeline_tb # -- Compiling configuration pipeline_conf_beh # -- Loading entity pipeline_tb # -- Loading architecture behavior of pipeline_tb # -- Loading entity fetch_stage # -- Loading entity decode_stage # -- Loading package alu_pkg # -- Loading entity execute_stage # -- Loading entity writeback_stage # vsim -t ns work.pipeline_conf_beh # Loading std.standard # Loading ieee.std_logic_1164(body) # Loading ieee.numeric_std(body) # Loading work.common_pkg(body) # Loading work.extension_pkg # Loading work.core_pkg # Loading work.alu_pkg(body) # Loading work.pipeline_conf_beh # Loading work.pipeline_tb(behavior) # Loading work.mem_pkg # Loading work.fetch_stage(behav) # Loading work.rom(behaviour) # Loading work.decode_stage(behav) # Loading work.r2_w_ram(behaviour) # Loading work.decoder(behav_d) # Loading work.execute_stage(behav) # Loading work.alu(behaviour) # Loading work.exec_op(add_op) # Loading work.exec_op(and_op) # Loading work.exec_op(or_op) # Loading work.exec_op(xor_op) # Loading work.exec_op(shift_op) # Loading work.extension_gpm(behav) # Loading work.extension_uart_pkg # Loading work.extension_7seg_pkg(body) # Loading work.writeback_stage(behav) # Loading work.r_w_ram_be(behaviour) # Loading work.extension_uart(behav) # Loading ieee.std_logic_arith(body) # Loading ieee.std_logic_unsigned(body) # Loading work.rs232_tx(beh) # Loading work.rs232_rx(beh) # Loading work.extension_7seg(behav) # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/writeback_st # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 1 Instance: /pipeline_tb/writeback_st # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 20 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram do testcore.do # ** Warning: (vlib-34) Library already exists at "work". # Modifying modelsim.ini # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package mem_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r_w_ram # -- Loading entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity r_w_ram_be # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r_w_ram_be # -- Loading entity r_w_ram_be # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling entity r2_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r2_w_ram # -- Loading entity r2_w_ram # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity rom # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of rom # -- Loading entity rom # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package common_pkg # -- Compiling package body common_pkg # -- Loading package common_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package core_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_uart_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Compiling entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture behav of extension_uart # -- Loading entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_7seg_pkg # -- Compiling package body extension_7seg_pkg # -- Loading package extension_7seg_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_7seg_pkg # -- Compiling entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of extension_7seg # -- Loading entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_tx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Loading package core_pkg # -- Compiling architecture beh of rs232_rx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav_d of decoder # -- Loading entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of fetch_stage # -- Loading entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav of decode_stage # -- Loading entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package alu_pkg # -- Compiling package body alu_pkg # -- Loading package alu_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture add_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture and_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture or_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture xor_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture shift_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity alu # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behaviour of alu # -- Loading entity alu # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of extension_gpm # -- Loading entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behav of execute_stage # -- Loading entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling entity writeback_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of writeback_stage # -- Loading entity writeback_stage # ** Warning: ../src/writeback_stage_b.vhd(307): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(323): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(341): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(354): Case choice must be a locally static expression. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity pipeline_tb # -- Compiling architecture behavior of pipeline_tb # -- Compiling configuration pipeline_conf_beh # -- Loading entity pipeline_tb # -- Loading architecture behavior of pipeline_tb # -- Loading entity fetch_stage # -- Loading entity decode_stage # -- Loading package alu_pkg # -- Loading entity execute_stage # -- Loading entity writeback_stage # vsim -t ns work.pipeline_conf_beh # Loading std.standard # Loading ieee.std_logic_1164(body) # Loading ieee.numeric_std(body) # Loading work.common_pkg(body) # Loading work.extension_pkg # Loading work.core_pkg # Loading work.alu_pkg(body) # Loading work.pipeline_conf_beh # Loading work.pipeline_tb(behavior) # Loading work.mem_pkg # Loading work.fetch_stage(behav) # Loading work.rom(behaviour) # Loading work.decode_stage(behav) # Loading work.r2_w_ram(behaviour) # Loading work.decoder(behav_d) # Loading work.execute_stage(behav) # Loading work.alu(behaviour) # Loading work.exec_op(add_op) # Loading work.exec_op(and_op) # Loading work.exec_op(or_op) # Loading work.exec_op(xor_op) # Loading work.exec_op(shift_op) # Loading work.extension_gpm(behav) # Loading work.extension_uart_pkg # Loading work.extension_7seg_pkg(body) # Loading work.writeback_stage(behav) # Loading work.r_w_ram_be(behaviour) # Loading work.extension_uart(behav) # Loading ieee.std_logic_arith(body) # Loading ieee.std_logic_unsigned(body) # Loading work.rs232_tx(beh) # Loading work.rs232_rx(beh) # Loading work.extension_7seg(behav) # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/writeback_st # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 1 Instance: /pipeline_tb/writeback_st # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 20 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram do testcore.do # ** Warning: (vlib-34) Library already exists at "work". # Modifying modelsim.ini # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package mem_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r_w_ram # -- Loading entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity r_w_ram_be # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r_w_ram_be # -- Loading entity r_w_ram_be # ** Error: ../src/r_w_ram_be_b.vhd(12): near ";": expecting ')' # ** Error: ../src/r_w_ram_be_b.vhd(25): Illegal target for signal assignment. # ** Error: ../src/r_w_ram_be_b.vhd(25): (vcom-1136) Unknown identifier "ram". # ** Error: ../src/r_w_ram_be_b.vhd(28): Illegal target for signal assignment. # ** Error: ../src/r_w_ram_be_b.vhd(28): (vcom-1136) Unknown identifier "ram". # ** Error: ../src/r_w_ram_be_b.vhd(31): Illegal target for signal assignment. # ** Error: ../src/r_w_ram_be_b.vhd(31): (vcom-1136) Unknown identifier "ram". # ** Error: ../src/r_w_ram_be_b.vhd(34): Illegal target for signal assignment. # ** Error: ../src/r_w_ram_be_b.vhd(34): (vcom-1136) Unknown identifier "ram". # ** Error: ../src/r_w_ram_be_b.vhd(37): (vcom-1136) Unknown identifier "ram". # ** Error: ../src/r_w_ram_be_b.vhd(41): VHDL Compiler exiting # ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed. # Error in macro ./testcore.do line 8 # /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed. # while executing # "vcom -work work ../src/r_w_ram_be_b.vhd" do testcore.do # ** Warning: (vlib-34) Library already exists at "work". # Modifying modelsim.ini # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package mem_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r_w_ram # -- Loading entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity r_w_ram_be # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r_w_ram_be # -- Loading entity r_w_ram_be # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling entity r2_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r2_w_ram # -- Loading entity r2_w_ram # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity rom # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of rom # -- Loading entity rom # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package common_pkg # -- Compiling package body common_pkg # -- Loading package common_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package core_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_uart_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Compiling entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture behav of extension_uart # -- Loading entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_7seg_pkg # -- Compiling package body extension_7seg_pkg # -- Loading package extension_7seg_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_7seg_pkg # -- Compiling entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of extension_7seg # -- Loading entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_tx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Loading package core_pkg # -- Compiling architecture beh of rs232_rx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav_d of decoder # -- Loading entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of fetch_stage # -- Loading entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav of decode_stage # -- Loading entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package alu_pkg # -- Compiling package body alu_pkg # -- Loading package alu_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture add_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture and_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture or_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture xor_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture shift_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity alu # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behaviour of alu # -- Loading entity alu # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of extension_gpm # -- Loading entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behav of execute_stage # -- Loading entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling entity writeback_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of writeback_stage # -- Loading entity writeback_stage # ** Warning: ../src/writeback_stage_b.vhd(307): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(323): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(341): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(354): Case choice must be a locally static expression. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity pipeline_tb # -- Compiling architecture behavior of pipeline_tb # -- Compiling configuration pipeline_conf_beh # -- Loading entity pipeline_tb # -- Loading architecture behavior of pipeline_tb # -- Loading entity fetch_stage # -- Loading entity decode_stage # -- Loading package alu_pkg # -- Loading entity execute_stage # -- Loading entity writeback_stage # vsim -t ns work.pipeline_conf_beh # Loading std.standard # Loading ieee.std_logic_1164(body) # Loading ieee.numeric_std(body) # Loading work.common_pkg(body) # Loading work.extension_pkg # Loading work.core_pkg # Loading work.alu_pkg(body) # Loading work.pipeline_conf_beh # Loading work.pipeline_tb(behavior) # Loading work.mem_pkg # Loading work.fetch_stage(behav) # Loading work.rom(behaviour) # Loading work.decode_stage(behav) # Loading work.r2_w_ram(behaviour) # Loading work.decoder(behav_d) # Loading work.execute_stage(behav) # Loading work.alu(behaviour) # Loading work.exec_op(add_op) # Loading work.exec_op(and_op) # Loading work.exec_op(or_op) # Loading work.exec_op(xor_op) # Loading work.exec_op(shift_op) # Loading work.extension_gpm(behav) # Loading work.extension_uart_pkg # Loading work.extension_7seg_pkg(body) # Loading work.writeback_stage(behav) # Loading work.r_w_ram_be(behaviour) # Loading work.extension_uart(behav) # Loading ieee.std_logic_arith(body) # Loading ieee.std_logic_unsigned(body) # Loading work.rs232_tx(beh) # Loading work.rs232_rx(beh) # Loading work.extension_7seg(behav) # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/writeback_st # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 1 Instance: /pipeline_tb/writeback_st # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 20 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram