ls # Control # doc # dtas # DTFormat.hi # DTFormat.hs # DTFormat.o # DT.hi # DT.hs # DT.o # Expr_eval.hi # Expr_eval.hs # Expr_eval.o # Main.hi # Main.hs # Main.o # Makefile # notes # Text # transcript # tst cd .. ls # 1_isacmp # 2_isa # 3a_asm # 3_asmsim # 3b_sim # 3c_disasm # 3_test # 4_block # 8_benchs # cpu # dt # isasty # transcript cd cpu cd sim # reading modelsim.ini ls # modelsim.ini # testcore1.do # testcore.do # vsim.wlf # wave.do # work do testcore.do # ** Warning: (vlib-34) Library already exists at "work". # Modifying modelsim.ini # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package mem_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r_w_ram # -- Loading entity r_w_ram # ** Warning: ../src/r_w_ram_b.vhd(118): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling entity r2_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r2_w_ram # -- Loading entity r2_w_ram # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package common_pkg # -- Compiling package body common_pkg # -- Loading package common_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_uart_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Compiling entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # ** Error: (vcom-13) Recompile work.core_pkg because work.extension_pkg has changed. # ** Error: ../src/extension_uart_b.vhd(6): (vcom-1195) Cannot find expanded name "work.core_pkg". # ** Error: ../src/extension_uart_b.vhd(6): Unknown expanded name. # -- Loading package mem_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # ** Error: ../src/extension_uart_b.vhd(12): VHDL Compiler exiting # ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed. # Error in macro ./testcore.do line 13 # /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed. # while executing # "vcom -work work ../src/extension_uart_b.vhd" do testcore.do # ** Warning: (vlib-34) Library already exists at "work". # Modifying modelsim.ini # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package mem_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r_w_ram # -- Loading entity r_w_ram # ** Warning: ../src/r_w_ram_b.vhd(118): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling entity r2_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r2_w_ram # -- Loading entity r2_w_ram # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package common_pkg # -- Compiling package body common_pkg # -- Loading package common_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package core_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_uart_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Compiling entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture behav of extension_uart # -- Loading entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_7seg_pkg # -- Compiling package body extension_7seg_pkg # -- Loading package extension_7seg_pkg # ** Error: ../src/extension_7seg_pkg.vhd(76): (vcom-1014) Array type case expression must be of a locally static subtype. # ** Error: ../src/extension_7seg_pkg.vhd(98): VHDL Compiler exiting # ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed. # Error in macro ./testcore.do line 15 # /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed. # while executing # "vcom -work work ../src/extension_7seg_pkg.vhd" do testcore.do # ** Warning: (vlib-34) Library already exists at "work". # Modifying modelsim.ini # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package mem_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r_w_ram # -- Loading entity r_w_ram # ** Warning: ../src/r_w_ram_b.vhd(118): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling entity r2_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r2_w_ram # -- Loading entity r2_w_ram # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package common_pkg # -- Compiling package body common_pkg # -- Loading package common_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package core_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_uart_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Compiling entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture behav of extension_uart # -- Loading entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_7seg_pkg # -- Compiling package body extension_7seg_pkg # -- Loading package extension_7seg_pkg # ** Error: ../src/extension_7seg_pkg.vhd(79): (vcom-1272) Length of expected is 6; length of actual is 5. # ** Error: ../src/extension_7seg_pkg.vhd(80): (vcom-1272) Length of expected is 6; length of actual is 5. # ** Error: ../src/extension_7seg_pkg.vhd(81): (vcom-1272) Length of expected is 6; length of actual is 5. # ** Error: ../src/extension_7seg_pkg.vhd(82): (vcom-1272) Length of expected is 6; length of actual is 5. # ** Error: ../src/extension_7seg_pkg.vhd(83): (vcom-1272) Length of expected is 6; length of actual is 5. # ** Error: ../src/extension_7seg_pkg.vhd(84): (vcom-1272) Length of expected is 6; length of actual is 5. # ** Error: ../src/extension_7seg_pkg.vhd(85): (vcom-1272) Length of expected is 6; length of actual is 5. # ** Error: ../src/extension_7seg_pkg.vhd(86): (vcom-1272) Length of expected is 6; length of actual is 5. # ** Error: ../src/extension_7seg_pkg.vhd(87): (vcom-1272) Length of expected is 6; length of actual is 5. # ** Error: ../src/extension_7seg_pkg.vhd(88): (vcom-1272) Length of expected is 6; length of actual is 5. # ** Error: ../src/extension_7seg_pkg.vhd(89): (vcom-1272) Length of expected is 6; length of actual is 5. # ** Error: ../src/extension_7seg_pkg.vhd(90): (vcom-1272) Length of expected is 6; length of actual is 5. # ** Error: ../src/extension_7seg_pkg.vhd(91): (vcom-1272) Length of expected is 6; length of actual is 5. # ** Error: ../src/extension_7seg_pkg.vhd(92): (vcom-1272) Length of expected is 6; length of actual is 5. # ** Error: ../src/extension_7seg_pkg.vhd(93): (vcom-1272) Length of expected is 6; length of actual is 5. # ** Error: ../src/extension_7seg_pkg.vhd(94): (vcom-1272) Length of expected is 6; length of actual is 5. # ** Error: ../src/extension_7seg_pkg.vhd(100): VHDL Compiler exiting # ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed. # Error in macro ./testcore.do line 15 # /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed. # while executing # "vcom -work work ../src/extension_7seg_pkg.vhd" do testcore.do # ** Warning: (vlib-34) Library already exists at "work". # Modifying modelsim.ini # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package mem_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r_w_ram # -- Loading entity r_w_ram # ** Warning: ../src/r_w_ram_b.vhd(118): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling entity r2_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r2_w_ram # -- Loading entity r2_w_ram # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package common_pkg # -- Compiling package body common_pkg # -- Loading package common_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package core_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_uart_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Compiling entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture behav of extension_uart # -- Loading entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_7seg_pkg # -- Compiling package body extension_7seg_pkg # -- Loading package extension_7seg_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_7seg_pkg # -- Compiling entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of extension_7seg # -- Loading entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_tx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_rx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav_d of decoder # -- Loading entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of fetch_stage # -- Loading entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav of decode_stage # -- Loading entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package alu_pkg # -- Compiling package body alu_pkg # -- Loading package alu_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture add_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture and_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture or_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture xor_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture shift_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity alu # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behaviour of alu # -- Loading entity alu # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of extension_gpm # -- Loading entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behav of execute_stage # -- Loading entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling entity writeback_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of writeback_stage # -- Loading entity writeback_stage # ** Warning: ../src/writeback_stage_b.vhd(206): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(220): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(233): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(245): Case choice must be a locally static expression. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity pipeline_tb # -- Compiling architecture behavior of pipeline_tb # ** Error: ../src/pipeline_tb.vhd(138): Signal "sseg0" is type ieee.std_logic_1164.std_logic_vector; expecting type ieee.std_logic_1164.std_logic. # ** Error: ../src/pipeline_tb.vhd(207): VHDL Compiler exiting # ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed. # Error in macro ./testcore.do line 58 # /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed. # while executing # "vcom -work work ../src/pipeline_tb.vhd" do testcore.do # ** Warning: (vlib-34) Library already exists at "work". # Modifying modelsim.ini # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package mem_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r_w_ram # -- Loading entity r_w_ram # ** Warning: ../src/r_w_ram_b.vhd(118): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling entity r2_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r2_w_ram # -- Loading entity r2_w_ram # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package common_pkg # -- Compiling package body common_pkg # -- Loading package common_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package core_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_uart_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Compiling entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture behav of extension_uart # -- Loading entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_7seg_pkg # -- Compiling package body extension_7seg_pkg # -- Loading package extension_7seg_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_7seg_pkg # -- Compiling entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of extension_7seg # -- Loading entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_tx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_rx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav_d of decoder # -- Loading entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of fetch_stage # -- Loading entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav of decode_stage # -- Loading entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package alu_pkg # -- Compiling package body alu_pkg # -- Loading package alu_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture add_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture and_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture or_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture xor_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture shift_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity alu # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behaviour of alu # -- Loading entity alu # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of extension_gpm # -- Loading entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behav of execute_stage # -- Loading entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling entity writeback_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of writeback_stage # -- Loading entity writeback_stage # ** Warning: ../src/writeback_stage_b.vhd(206): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(220): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(233): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(245): Case choice must be a locally static expression. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity pipeline_tb # -- Compiling architecture behavior of pipeline_tb # -- Compiling configuration pipeline_conf_beh # -- Loading entity pipeline_tb # -- Loading architecture behavior of pipeline_tb # -- Loading entity fetch_stage # -- Loading entity decode_stage # -- Loading package alu_pkg # -- Loading entity execute_stage # -- Loading entity writeback_stage # vsim -t ns work.pipeline_conf_beh # Loading std.standard # Loading ieee.std_logic_1164(body) # Loading ieee.numeric_std(body) # Loading work.common_pkg(body) # Loading work.extension_pkg # Loading work.core_pkg # Loading work.alu_pkg(body) # Loading work.pipeline_conf_beh # Loading work.pipeline_tb(behavior) # Loading work.mem_pkg # Loading work.fetch_stage(behav) # Loading work.r_w_ram(behaviour) # Loading work.decode_stage(behav) # Loading work.r2_w_ram(behaviour) # Loading work.decoder(behav_d) # Loading work.execute_stage(behav) # Loading work.alu(behaviour) # Loading work.exec_op(add_op) # Loading work.exec_op(and_op) # Loading work.exec_op(or_op) # Loading work.exec_op(xor_op) # Loading work.exec_op(shift_op) # Loading work.extension_gpm(behav) # Loading work.extension_uart_pkg # Loading work.extension_7seg_pkg(body) # Loading work.writeback_stage(behav) # Loading work.extension_uart(behav) # Loading ieee.std_logic_arith(body) # Loading ieee.std_logic_unsigned(body) # Loading work.rs232_tx(beh) # Loading work.rs232_rx(beh) # Loading work.extension_7seg(behav) # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 30 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram do testcore.do # ** Warning: (vlib-34) Library already exists at "work". # Modifying modelsim.ini # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package mem_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r_w_ram # -- Loading entity r_w_ram # ** Warning: ../src/r_w_ram_b.vhd(118): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling entity r2_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r2_w_ram # -- Loading entity r2_w_ram # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package common_pkg # -- Compiling package body common_pkg # -- Loading package common_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package core_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_uart_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Compiling entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture behav of extension_uart # -- Loading entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_7seg_pkg # -- Compiling package body extension_7seg_pkg # -- Loading package extension_7seg_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_7seg_pkg # -- Compiling entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of extension_7seg # -- Loading entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_tx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_rx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav_d of decoder # -- Loading entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of fetch_stage # -- Loading entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav of decode_stage # -- Loading entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package alu_pkg # -- Compiling package body alu_pkg # -- Loading package alu_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture add_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture and_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture or_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture xor_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture shift_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity alu # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behaviour of alu # -- Loading entity alu # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of extension_gpm # -- Loading entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behav of execute_stage # -- Loading entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling entity writeback_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of writeback_stage # -- Loading entity writeback_stage # ** Warning: ../src/writeback_stage_b.vhd(206): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(220): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(233): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(245): Case choice must be a locally static expression. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity pipeline_tb # -- Compiling architecture behavior of pipeline_tb # -- Compiling configuration pipeline_conf_beh # -- Loading entity pipeline_tb # -- Loading architecture behavior of pipeline_tb # -- Loading entity fetch_stage # -- Loading entity decode_stage # -- Loading package alu_pkg # -- Loading entity execute_stage # -- Loading entity writeback_stage # vsim -t ns work.pipeline_conf_beh # Loading std.standard # Loading ieee.std_logic_1164(body) # Loading ieee.numeric_std(body) # Loading work.common_pkg(body) # Loading work.extension_pkg # Loading work.core_pkg # Loading work.alu_pkg(body) # Loading work.pipeline_conf_beh # Loading work.pipeline_tb(behavior) # Loading work.mem_pkg # Loading work.fetch_stage(behav) # Loading work.r_w_ram(behaviour) # Loading work.decode_stage(behav) # Loading work.r2_w_ram(behaviour) # Loading work.decoder(behav_d) # Loading work.execute_stage(behav) # Loading work.alu(behaviour) # Loading work.exec_op(add_op) # Loading work.exec_op(and_op) # Loading work.exec_op(or_op) # Loading work.exec_op(xor_op) # Loading work.exec_op(shift_op) # Loading work.extension_gpm(behav) # Loading work.extension_uart_pkg # Loading work.extension_7seg_pkg(body) # Loading work.writeback_stage(behav) # Loading work.extension_uart(behav) # Loading ieee.std_logic_arith(body) # Loading ieee.std_logic_unsigned(body) # Loading work.rs232_tx(beh) # Loading work.rs232_rx(beh) # Loading work.extension_7seg(behav) # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 30 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram do testcore.do # ** Warning: (vlib-34) Library already exists at "work". # Modifying modelsim.ini # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package mem_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r_w_ram # -- Loading entity r_w_ram # ** Warning: ../src/r_w_ram_b.vhd(118): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # ** Error: ../src/r_w_ram_b.vhd(234): (vcom-1014) Array type case expression must be of a locally static subtype. # ** Warning: ../src/r_w_ram_b.vhd(236): Case choice must be a locally static expression. # ** Warning: ../src/r_w_ram_b.vhd(237): Case choice must be a locally static expression. # ** Warning: ../src/r_w_ram_b.vhd(238): Case choice must be a locally static expression. # ** Warning: ../src/r_w_ram_b.vhd(240): Case choice must be a locally static expression. # ** Warning: ../src/r_w_ram_b.vhd(241): Case choice must be a locally static expression. # ** Warning: ../src/r_w_ram_b.vhd(242): Case choice must be a locally static expression. # ** Warning: ../src/r_w_ram_b.vhd(244): Case choice must be a locally static expression. # ** Warning: ../src/r_w_ram_b.vhd(245): Case choice must be a locally static expression. # ** Warning: ../src/r_w_ram_b.vhd(246): Case choice must be a locally static expression. # ** Warning: ../src/r_w_ram_b.vhd(249): Case choice must be a locally static expression. # ** Warning: ../src/r_w_ram_b.vhd(250): Case choice must be a locally static expression. # ** Warning: ../src/r_w_ram_b.vhd(251): Case choice must be a locally static expression. # ** Warning: ../src/r_w_ram_b.vhd(252): Case choice must be a locally static expression. # ** Warning: ../src/r_w_ram_b.vhd(253): Case choice must be a locally static expression. # ** Warning: ../src/r_w_ram_b.vhd(255): Case choice must be a locally static expression. # ** Warning: ../src/r_w_ram_b.vhd(256): Case choice must be a locally static expression. # ** Warning: ../src/r_w_ram_b.vhd(257): Case choice must be a locally static expression. # ** Warning: ../src/r_w_ram_b.vhd(258): Case choice must be a locally static expression. # ** Warning: ../src/r_w_ram_b.vhd(259): Case choice must be a locally static expression. # ** Warning: ../src/r_w_ram_b.vhd(260): Case choice must be a locally static expression. # ** Warning: ../src/r_w_ram_b.vhd(261): Case choice must be a locally static expression. # ** Warning: ../src/r_w_ram_b.vhd(262): Case choice must be a locally static expression. # ** Warning: ../src/r_w_ram_b.vhd(263): Case choice must be a locally static expression. # ** Warning: ../src/r_w_ram_b.vhd(265): Case choice must be a locally static expression. # ** Warning: ../src/r_w_ram_b.vhd(266): Case choice must be a locally static expression. # ** Warning: ../src/r_w_ram_b.vhd(267): Case choice must be a locally static expression. # ** Warning: ../src/r_w_ram_b.vhd(270): Case choice must be a locally static expression. # ** Warning: ../src/r_w_ram_b.vhd(271): Case choice must be a locally static expression. # ** Warning: ../src/r_w_ram_b.vhd(272): Case choice must be a locally static expression. # ** Warning: ../src/r_w_ram_b.vhd(273): Case choice must be a locally static expression. # ** Error: ../src/r_w_ram_b.vhd(302): VHDL Compiler exiting # ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed. # Error in macro ./testcore.do line 6 # /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed. # while executing # "vcom -work work ../src/r_w_ram_b.vhd" do testcore.do # ** Warning: (vlib-34) Library already exists at "work". # Modifying modelsim.ini # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package mem_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r_w_ram # -- Loading entity r_w_ram # ** Warning: ../src/r_w_ram_b.vhd(120): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # ** Warning: [14] ../src/r_w_ram_b.vhd(238): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(239): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(240): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(242): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(243): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(244): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(246): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(247): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(248): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(251): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(252): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(253): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(254): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(255): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(257): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(258): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(259): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(260): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(261): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(262): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(263): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(264): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(265): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(267): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(268): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(269): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(272): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(273): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(274): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(275): (vcom-1272) Length of expected is 32; length of actual is 11. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling entity r2_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r2_w_ram # -- Loading entity r2_w_ram # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package common_pkg # -- Compiling package body common_pkg # -- Loading package common_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package core_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_uart_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Compiling entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture behav of extension_uart # -- Loading entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_7seg_pkg # -- Compiling package body extension_7seg_pkg # -- Loading package extension_7seg_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_7seg_pkg # -- Compiling entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of extension_7seg # -- Loading entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_tx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_rx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav_d of decoder # -- Loading entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of fetch_stage # -- Loading entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav of decode_stage # -- Loading entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package alu_pkg # -- Compiling package body alu_pkg # -- Loading package alu_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture add_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture and_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture or_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture xor_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture shift_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity alu # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behaviour of alu # -- Loading entity alu # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of extension_gpm # -- Loading entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behav of execute_stage # -- Loading entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling entity writeback_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of writeback_stage # -- Loading entity writeback_stage # ** Warning: ../src/writeback_stage_b.vhd(206): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(220): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(233): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(245): Case choice must be a locally static expression. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity pipeline_tb # -- Compiling architecture behavior of pipeline_tb # -- Compiling configuration pipeline_conf_beh # -- Loading entity pipeline_tb # -- Loading architecture behavior of pipeline_tb # -- Loading entity fetch_stage # -- Loading entity decode_stage # -- Loading package alu_pkg # -- Loading entity execute_stage # -- Loading entity writeback_stage # vsim -t ns work.pipeline_conf_beh # Loading std.standard # Loading ieee.std_logic_1164(body) # Loading ieee.numeric_std(body) # Loading work.common_pkg(body) # Loading work.extension_pkg # Loading work.core_pkg # Loading work.alu_pkg(body) # Loading work.pipeline_conf_beh # Loading work.pipeline_tb(behavior) # Loading work.mem_pkg # Loading work.fetch_stage(behav) # Loading work.r_w_ram(behaviour) # Loading work.decode_stage(behav) # Loading work.r2_w_ram(behaviour) # Loading work.decoder(behav_d) # Loading work.execute_stage(behav) # Loading work.alu(behaviour) # Loading work.exec_op(add_op) # Loading work.exec_op(and_op) # Loading work.exec_op(or_op) # Loading work.exec_op(xor_op) # Loading work.exec_op(shift_op) # Loading work.extension_gpm(behav) # Loading work.extension_uart_pkg # Loading work.extension_7seg_pkg(body) # Loading work.writeback_stage(behav) # Loading work.extension_uart(behav) # Loading ieee.std_logic_arith(body) # Loading ieee.std_logic_unsigned(body) # Loading work.rs232_tx(beh) # Loading work.rs232_rx(beh) # Loading work.extension_7seg(behav) # ** Fatal: (vsim-3420) Array lengths do not match. Left is 32 (31 downto 0). Right is 11 (10 downto 0). # Time: 0 ns Iteration: 0 Process: /pipeline_tb/writeback_st/data_ram/line__305 File: ../src/r_w_ram_b.vhd # Fatal error in Architecture behaviour at ../src/r_w_ram_b.vhd line 305 # # HDL call sequence: # Stopped at ../src/r_w_ram_b.vhd 305 Architecture behaviour # do testcore.do # ** Warning: (vlib-34) Library already exists at "work". # Modifying modelsim.ini # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package mem_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r_w_ram # -- Loading entity r_w_ram # ** Warning: ../src/r_w_ram_b.vhd(120): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # ** Warning: [14] ../src/r_w_ram_b.vhd(238): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(239): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(240): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(242): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(243): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(244): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(246): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(247): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(248): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(251): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(252): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(253): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(254): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(255): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(257): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(258): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(259): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(260): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(261): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(262): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(263): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(264): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(265): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(267): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(268): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(269): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(272): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(273): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(274): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(275): (vcom-1272) Length of expected is 32; length of actual is 11. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling entity r2_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r2_w_ram # -- Loading entity r2_w_ram # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package common_pkg # -- Compiling package body common_pkg # -- Loading package common_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package core_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_uart_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Compiling entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture behav of extension_uart # -- Loading entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_7seg_pkg # -- Compiling package body extension_7seg_pkg # -- Loading package extension_7seg_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_7seg_pkg # -- Compiling entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of extension_7seg # -- Loading entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_tx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_rx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav_d of decoder # -- Loading entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of fetch_stage # -- Loading entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav of decode_stage # -- Loading entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package alu_pkg # -- Compiling package body alu_pkg # -- Loading package alu_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture add_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture and_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture or_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture xor_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture shift_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity alu # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behaviour of alu # -- Loading entity alu # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of extension_gpm # -- Loading entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behav of execute_stage # -- Loading entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling entity writeback_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of writeback_stage # -- Loading entity writeback_stage # ** Warning: ../src/writeback_stage_b.vhd(206): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(220): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(233): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(245): Case choice must be a locally static expression. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity pipeline_tb # -- Compiling architecture behavior of pipeline_tb # -- Compiling configuration pipeline_conf_beh # -- Loading entity pipeline_tb # -- Loading architecture behavior of pipeline_tb # -- Loading entity fetch_stage # -- Loading entity decode_stage # -- Loading package alu_pkg # -- Loading entity execute_stage # -- Loading entity writeback_stage # vsim -t ns work.pipeline_conf_beh # Loading std.standard # Loading ieee.std_logic_1164(body) # Loading ieee.numeric_std(body) # Loading work.common_pkg(body) # Loading work.extension_pkg # Loading work.core_pkg # Loading work.alu_pkg(body) # Loading work.pipeline_conf_beh # Loading work.pipeline_tb(behavior) # Loading work.mem_pkg # Loading work.fetch_stage(behav) # Loading work.r_w_ram(behaviour) # Loading work.decode_stage(behav) # Loading work.r2_w_ram(behaviour) # Loading work.decoder(behav_d) # Loading work.execute_stage(behav) # Loading work.alu(behaviour) # Loading work.exec_op(add_op) # Loading work.exec_op(and_op) # Loading work.exec_op(or_op) # Loading work.exec_op(xor_op) # Loading work.exec_op(shift_op) # Loading work.extension_gpm(behav) # Loading work.extension_uart_pkg # Loading work.extension_7seg_pkg(body) # Loading work.writeback_stage(behav) # Loading work.extension_uart(behav) # Loading ieee.std_logic_arith(body) # Loading ieee.std_logic_unsigned(body) # Loading work.rs232_tx(beh) # Loading work.rs232_rx(beh) # Loading work.extension_7seg(behav) # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst do testcore.do # ** Warning: (vlib-34) Library already exists at "work". # Modifying modelsim.ini # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package mem_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r_w_ram # -- Loading entity r_w_ram # ** Warning: ../src/r_w_ram_b.vhd(120): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # ** Warning: [14] ../src/r_w_ram_b.vhd(238): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(239): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(240): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(242): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(243): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(244): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(246): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(247): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(248): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(251): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(252): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(253): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(254): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(255): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(257): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(258): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(259): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(260): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(261): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(262): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(263): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(264): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(265): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(267): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(268): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(269): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(272): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(273): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(274): (vcom-1272) Length of expected is 32; length of actual is 11. # ** Warning: [14] ../src/r_w_ram_b.vhd(275): (vcom-1272) Length of expected is 32; length of actual is 11. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling entity r2_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r2_w_ram # -- Loading entity r2_w_ram # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package common_pkg # -- Compiling package body common_pkg # -- Loading package common_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package core_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_uart_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Compiling entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture behav of extension_uart # -- Loading entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_7seg_pkg # -- Compiling package body extension_7seg_pkg # -- Loading package extension_7seg_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_7seg_pkg # -- Compiling entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of extension_7seg # -- Loading entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_tx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_rx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav_d of decoder # -- Loading entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of fetch_stage # -- Loading entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav of decode_stage # -- Loading entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package alu_pkg # -- Compiling package body alu_pkg # -- Loading package alu_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture add_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture and_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture or_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture xor_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture shift_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity alu # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behaviour of alu # -- Loading entity alu # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of extension_gpm # -- Loading entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behav of execute_stage # -- Loading entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling entity writeback_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of writeback_stage # -- Loading entity writeback_stage # ** Warning: ../src/writeback_stage_b.vhd(206): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(220): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(233): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(245): Case choice must be a locally static expression. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity pipeline_tb # -- Compiling architecture behavior of pipeline_tb # -- Compiling configuration pipeline_conf_beh # -- Loading entity pipeline_tb # -- Loading architecture behavior of pipeline_tb # -- Loading entity fetch_stage # -- Loading entity decode_stage # -- Loading package alu_pkg # -- Loading entity execute_stage # -- Loading entity writeback_stage # vsim -t ns work.pipeline_conf_beh # Loading std.standard # Loading ieee.std_logic_1164(body) # Loading ieee.numeric_std(body) # Loading work.common_pkg(body) # Loading work.extension_pkg # Loading work.core_pkg # Loading work.alu_pkg(body) # Loading work.pipeline_conf_beh # Loading work.pipeline_tb(behavior) # Loading work.mem_pkg # Loading work.fetch_stage(behav) # Loading work.r_w_ram(behaviour) # Loading work.decode_stage(behav) # Loading work.r2_w_ram(behaviour) # Loading work.decoder(behav_d) # Loading work.execute_stage(behav) # Loading work.alu(behaviour) # Loading work.exec_op(add_op) # Loading work.exec_op(and_op) # Loading work.exec_op(or_op) # Loading work.exec_op(xor_op) # Loading work.exec_op(shift_op) # Loading work.extension_gpm(behav) # Loading work.extension_uart_pkg # Loading work.extension_7seg_pkg(body) # Loading work.writeback_stage(behav) # Loading work.extension_uart(behav) # Loading ieee.std_logic_arith(body) # Loading ieee.std_logic_unsigned(body) # Loading work.rs232_tx(beh) # Loading work.rs232_rx(beh) # Loading work.extension_7seg(behav) # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst do testcore.do # ** Warning: (vlib-34) Library already exists at "work". # Modifying modelsim.ini # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package mem_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r_w_ram # -- Loading entity r_w_ram # ** Warning: ../src/r_w_ram_b.vhd(120): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling entity r2_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r2_w_ram # -- Loading entity r2_w_ram # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package common_pkg # -- Compiling package body common_pkg # -- Loading package common_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package core_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_uart_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Compiling entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture behav of extension_uart # -- Loading entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_7seg_pkg # -- Compiling package body extension_7seg_pkg # -- Loading package extension_7seg_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_7seg_pkg # -- Compiling entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of extension_7seg # -- Loading entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_tx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_rx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav_d of decoder # -- Loading entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of fetch_stage # -- Loading entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav of decode_stage # -- Loading entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package alu_pkg # -- Compiling package body alu_pkg # -- Loading package alu_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture add_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture and_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture or_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture xor_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture shift_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity alu # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behaviour of alu # -- Loading entity alu # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of extension_gpm # -- Loading entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behav of execute_stage # -- Loading entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling entity writeback_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of writeback_stage # -- Loading entity writeback_stage # ** Warning: ../src/writeback_stage_b.vhd(206): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(220): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(233): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(245): Case choice must be a locally static expression. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity pipeline_tb # -- Compiling architecture behavior of pipeline_tb # -- Compiling configuration pipeline_conf_beh # -- Loading entity pipeline_tb # -- Loading architecture behavior of pipeline_tb # -- Loading entity fetch_stage # -- Loading entity decode_stage # -- Loading package alu_pkg # -- Loading entity execute_stage # -- Loading entity writeback_stage # vsim -t ns work.pipeline_conf_beh # Loading std.standard # Loading ieee.std_logic_1164(body) # Loading ieee.numeric_std(body) # Loading work.common_pkg(body) # Loading work.extension_pkg # Loading work.core_pkg # Loading work.alu_pkg(body) # Loading work.pipeline_conf_beh # Loading work.pipeline_tb(behavior) # Loading work.mem_pkg # Loading work.fetch_stage(behav) # Loading work.r_w_ram(behaviour) # Loading work.decode_stage(behav) # Loading work.r2_w_ram(behaviour) # Loading work.decoder(behav_d) # Loading work.execute_stage(behav) # Loading work.alu(behaviour) # Loading work.exec_op(add_op) # Loading work.exec_op(and_op) # Loading work.exec_op(or_op) # Loading work.exec_op(xor_op) # Loading work.exec_op(shift_op) # Loading work.extension_gpm(behav) # Loading work.extension_uart_pkg # Loading work.extension_7seg_pkg(body) # Loading work.writeback_stage(behav) # Loading work.extension_uart(behav) # Loading ieee.std_logic_arith(body) # Loading ieee.std_logic_unsigned(body) # Loading work.rs232_tx(beh) # Loading work.rs232_rx(beh) # Loading work.extension_7seg(behav) # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst do testcore.do # ** Warning: (vlib-34) Library already exists at "work". # Modifying modelsim.ini # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package mem_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r_w_ram # -- Loading entity r_w_ram # ** Warning: ../src/r_w_ram_b.vhd(120): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling entity r2_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r2_w_ram # -- Loading entity r2_w_ram # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package common_pkg # -- Compiling package body common_pkg # -- Loading package common_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package core_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_uart_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Compiling entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture behav of extension_uart # -- Loading entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_7seg_pkg # -- Compiling package body extension_7seg_pkg # -- Loading package extension_7seg_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_7seg_pkg # -- Compiling entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of extension_7seg # -- Loading entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_tx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_rx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav_d of decoder # -- Loading entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of fetch_stage # -- Loading entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav of decode_stage # -- Loading entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package alu_pkg # -- Compiling package body alu_pkg # -- Loading package alu_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture add_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture and_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture or_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture xor_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture shift_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity alu # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behaviour of alu # -- Loading entity alu # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of extension_gpm # -- Loading entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behav of execute_stage # -- Loading entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling entity writeback_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of writeback_stage # -- Loading entity writeback_stage # ** Warning: ../src/writeback_stage_b.vhd(206): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(220): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(233): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(245): Case choice must be a locally static expression. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity pipeline_tb # -- Compiling architecture behavior of pipeline_tb # -- Compiling configuration pipeline_conf_beh # -- Loading entity pipeline_tb # -- Loading architecture behavior of pipeline_tb # -- Loading entity fetch_stage # -- Loading entity decode_stage # -- Loading package alu_pkg # -- Loading entity execute_stage # -- Loading entity writeback_stage # vsim -t ns work.pipeline_conf_beh # Loading std.standard # Loading ieee.std_logic_1164(body) # Loading ieee.numeric_std(body) # Loading work.common_pkg(body) # Loading work.extension_pkg # Loading work.core_pkg # Loading work.alu_pkg(body) # Loading work.pipeline_conf_beh # Loading work.pipeline_tb(behavior) # Loading work.mem_pkg # Loading work.fetch_stage(behav) # ** Warning: (vsim-3473) Component instance "instruction_ram : rom" is not bound. # Time: 0 ns Iteration: 0 Region: /pipeline_tb/fetch_st File: ../src/fetch_stage_b.vhd # Loading work.decode_stage(behav) # Loading work.r2_w_ram(behaviour) # Loading work.decoder(behav_d) # Loading work.execute_stage(behav) # Loading work.alu(behaviour) # Loading work.exec_op(add_op) # Loading work.exec_op(and_op) # Loading work.exec_op(or_op) # Loading work.exec_op(xor_op) # Loading work.exec_op(shift_op) # Loading work.extension_gpm(behav) # Loading work.extension_uart_pkg # Loading work.extension_7seg_pkg(body) # Loading work.writeback_stage(behav) # Loading work.r_w_ram(behaviour) # Loading work.extension_uart(behav) # Loading ieee.std_logic_arith(body) # Loading ieee.std_logic_unsigned(body) # Loading work.rs232_tx(beh) # Loading work.rs232_rx(beh) # Loading work.extension_7seg(behav) # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 30 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram do testcore.do # ** Warning: (vlib-34) Library already exists at "work". # Modifying modelsim.ini # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package mem_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r_w_ram # -- Loading entity r_w_ram # ** Warning: ../src/r_w_ram_b.vhd(120): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling entity r2_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r2_w_ram # -- Loading entity r2_w_ram # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package common_pkg # -- Compiling package body common_pkg # -- Loading package common_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package core_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_uart_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Compiling entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture behav of extension_uart # -- Loading entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_7seg_pkg # -- Compiling package body extension_7seg_pkg # -- Loading package extension_7seg_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_7seg_pkg # -- Compiling entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of extension_7seg # -- Loading entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_tx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_rx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav_d of decoder # -- Loading entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of fetch_stage # -- Loading entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav of decode_stage # -- Loading entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package alu_pkg # -- Compiling package body alu_pkg # -- Loading package alu_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture add_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture and_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture or_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture xor_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture shift_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity alu # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behaviour of alu # -- Loading entity alu # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of extension_gpm # -- Loading entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behav of execute_stage # -- Loading entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling entity writeback_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of writeback_stage # -- Loading entity writeback_stage # ** Warning: ../src/writeback_stage_b.vhd(206): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(220): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(233): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(245): Case choice must be a locally static expression. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity pipeline_tb # -- Compiling architecture behavior of pipeline_tb # -- Compiling configuration pipeline_conf_beh # -- Loading entity pipeline_tb # -- Loading architecture behavior of pipeline_tb # -- Loading entity fetch_stage # -- Loading entity decode_stage # -- Loading package alu_pkg # -- Loading entity execute_stage # -- Loading entity writeback_stage # vsim -t ns work.pipeline_conf_beh # Loading std.standard # Loading ieee.std_logic_1164(body) # Loading ieee.numeric_std(body) # Loading work.common_pkg(body) # Loading work.extension_pkg # Loading work.core_pkg # Loading work.alu_pkg(body) # Loading work.pipeline_conf_beh # Loading work.pipeline_tb(behavior) # Loading work.mem_pkg # Loading work.fetch_stage(behav) # ** Warning: (vsim-3473) Component instance "instruction_ram : rom" is not bound. # Time: 0 ns Iteration: 0 Region: /pipeline_tb/fetch_st File: ../src/fetch_stage_b.vhd # Loading work.decode_stage(behav) # Loading work.r2_w_ram(behaviour) # Loading work.decoder(behav_d) # Loading work.execute_stage(behav) # Loading work.alu(behaviour) # Loading work.exec_op(add_op) # Loading work.exec_op(and_op) # Loading work.exec_op(or_op) # Loading work.exec_op(xor_op) # Loading work.exec_op(shift_op) # Loading work.extension_gpm(behav) # Loading work.extension_uart_pkg # Loading work.extension_7seg_pkg(body) # Loading work.writeback_stage(behav) # Loading work.r_w_ram(behaviour) # Loading work.extension_uart(behav) # Loading ieee.std_logic_arith(body) # Loading ieee.std_logic_unsigned(body) # Loading work.rs232_tx(beh) # Loading work.rs232_rx(beh) # Loading work.extension_7seg(behav) # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 30 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram do testcore.do # ** Warning: (vlib-34) Library already exists at "work". # Modifying modelsim.ini # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package mem_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r_w_ram # -- Loading entity r_w_ram # ** Warning: ../src/r_w_ram_b.vhd(120): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling entity r2_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r2_w_ram # -- Loading entity r2_w_ram # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package common_pkg # -- Compiling package body common_pkg # -- Loading package common_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package core_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_uart_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Compiling entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture behav of extension_uart # -- Loading entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_7seg_pkg # -- Compiling package body extension_7seg_pkg # -- Loading package extension_7seg_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_7seg_pkg # -- Compiling entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of extension_7seg # -- Loading entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_tx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_rx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav_d of decoder # -- Loading entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of fetch_stage # -- Loading entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav of decode_stage # -- Loading entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package alu_pkg # -- Compiling package body alu_pkg # -- Loading package alu_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture add_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture and_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture or_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture xor_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture shift_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity alu # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behaviour of alu # -- Loading entity alu # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of extension_gpm # -- Loading entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behav of execute_stage # -- Loading entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling entity writeback_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of writeback_stage # -- Loading entity writeback_stage # ** Warning: ../src/writeback_stage_b.vhd(206): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(220): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(233): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(245): Case choice must be a locally static expression. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity pipeline_tb # -- Compiling architecture behavior of pipeline_tb # -- Compiling configuration pipeline_conf_beh # -- Loading entity pipeline_tb # -- Loading architecture behavior of pipeline_tb # -- Loading entity fetch_stage # -- Loading entity decode_stage # -- Loading package alu_pkg # -- Loading entity execute_stage # -- Loading entity writeback_stage # vsim -t ns work.pipeline_conf_beh # Loading std.standard # Loading ieee.std_logic_1164(body) # Loading ieee.numeric_std(body) # Loading work.common_pkg(body) # Loading work.extension_pkg # Loading work.core_pkg # Loading work.alu_pkg(body) # Loading work.pipeline_conf_beh # Loading work.pipeline_tb(behavior) # Loading work.mem_pkg # Loading work.fetch_stage(behav) # ** Warning: (vsim-3473) Component instance "instruction_ram : rom" is not bound. # Time: 0 ns Iteration: 0 Region: /pipeline_tb/fetch_st File: ../src/fetch_stage_b.vhd # Loading work.decode_stage(behav) # Loading work.r2_w_ram(behaviour) # Loading work.decoder(behav_d) # Loading work.execute_stage(behav) # Loading work.alu(behaviour) # Loading work.exec_op(add_op) # Loading work.exec_op(and_op) # Loading work.exec_op(or_op) # Loading work.exec_op(xor_op) # Loading work.exec_op(shift_op) # Loading work.extension_gpm(behav) # Loading work.extension_uart_pkg # Loading work.extension_7seg_pkg(body) # Loading work.writeback_stage(behav) # Loading work.r_w_ram(behaviour) # Loading work.extension_uart(behav) # Loading ieee.std_logic_arith(body) # Loading ieee.std_logic_unsigned(body) # Loading work.rs232_tx(beh) # Loading work.rs232_rx(beh) # Loading work.extension_7seg(behav) # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 30 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram do testcore.do # ** Warning: (vlib-34) Library already exists at "work". # Modifying modelsim.ini # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package mem_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r_w_ram # -- Loading entity r_w_ram # ** Warning: ../src/r_w_ram_b.vhd(120): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling entity r2_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r2_w_ram # -- Loading entity r2_w_ram # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package common_pkg # -- Compiling package body common_pkg # -- Loading package common_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package core_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_uart_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Compiling entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture behav of extension_uart # -- Loading entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_7seg_pkg # -- Compiling package body extension_7seg_pkg # -- Loading package extension_7seg_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_7seg_pkg # -- Compiling entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of extension_7seg # -- Loading entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_tx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_rx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav_d of decoder # -- Loading entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of fetch_stage # -- Loading entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav of decode_stage # -- Loading entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package alu_pkg # -- Compiling package body alu_pkg # -- Loading package alu_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture add_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture and_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture or_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture xor_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture shift_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity alu # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behaviour of alu # -- Loading entity alu # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of extension_gpm # -- Loading entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behav of execute_stage # -- Loading entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling entity writeback_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of writeback_stage # -- Loading entity writeback_stage # ** Warning: ../src/writeback_stage_b.vhd(206): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(220): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(233): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(245): Case choice must be a locally static expression. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity pipeline_tb # -- Compiling architecture behavior of pipeline_tb # -- Compiling configuration pipeline_conf_beh # -- Loading entity pipeline_tb # -- Loading architecture behavior of pipeline_tb # -- Loading entity fetch_stage # -- Loading entity decode_stage # -- Loading package alu_pkg # -- Loading entity execute_stage # -- Loading entity writeback_stage # vsim -t ns work.pipeline_conf_beh # Loading std.standard # Loading ieee.std_logic_1164(body) # Loading ieee.numeric_std(body) # Loading work.common_pkg(body) # Loading work.extension_pkg # Loading work.core_pkg # Loading work.alu_pkg(body) # Loading work.pipeline_conf_beh # Loading work.pipeline_tb(behavior) # Loading work.mem_pkg # Loading work.fetch_stage(behav) # ** Warning: (vsim-3473) Component instance "instruction_ram : rom" is not bound. # Time: 0 ns Iteration: 0 Region: /pipeline_tb/fetch_st File: ../src/fetch_stage_b.vhd # Loading work.decode_stage(behav) # Loading work.r2_w_ram(behaviour) # Loading work.decoder(behav_d) # Loading work.execute_stage(behav) # Loading work.alu(behaviour) # Loading work.exec_op(add_op) # Loading work.exec_op(and_op) # Loading work.exec_op(or_op) # Loading work.exec_op(xor_op) # Loading work.exec_op(shift_op) # Loading work.extension_gpm(behav) # Loading work.extension_uart_pkg # Loading work.extension_7seg_pkg(body) # Loading work.writeback_stage(behav) # Loading work.r_w_ram(behaviour) # Loading work.extension_uart(behav) # Loading ieee.std_logic_arith(body) # Loading ieee.std_logic_unsigned(body) # Loading work.rs232_tx(beh) # Loading work.rs232_rx(beh) # Loading work.extension_7seg(behav) # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 30 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram do testcore.do # ** Warning: (vlib-34) Library already exists at "work". # Modifying modelsim.ini # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package mem_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r_w_ram # -- Loading entity r_w_ram # ** Warning: ../src/r_w_ram_b.vhd(120): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling entity r2_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r2_w_ram # -- Loading entity r2_w_ram # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity rom # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of rom # -- Loading entity rom # ** Warning: ../src/rom_b.vhd(120): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package common_pkg # -- Compiling package body common_pkg # -- Loading package common_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package core_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_uart_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Compiling entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture behav of extension_uart # -- Loading entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_7seg_pkg # -- Compiling package body extension_7seg_pkg # -- Loading package extension_7seg_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_7seg_pkg # -- Compiling entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of extension_7seg # -- Loading entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_tx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_rx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav_d of decoder # -- Loading entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of fetch_stage # -- Loading entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav of decode_stage # -- Loading entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package alu_pkg # -- Compiling package body alu_pkg # -- Loading package alu_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture add_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture and_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture or_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture xor_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture shift_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity alu # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behaviour of alu # -- Loading entity alu # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of extension_gpm # -- Loading entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behav of execute_stage # -- Loading entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling entity writeback_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of writeback_stage # -- Loading entity writeback_stage # ** Warning: ../src/writeback_stage_b.vhd(206): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(220): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(233): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(245): Case choice must be a locally static expression. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity pipeline_tb # -- Compiling architecture behavior of pipeline_tb # -- Compiling configuration pipeline_conf_beh # -- Loading entity pipeline_tb # -- Loading architecture behavior of pipeline_tb # -- Loading entity fetch_stage # -- Loading entity decode_stage # -- Loading package alu_pkg # -- Loading entity execute_stage # -- Loading entity writeback_stage # vsim -t ns work.pipeline_conf_beh # Loading std.standard # Loading ieee.std_logic_1164(body) # Loading ieee.numeric_std(body) # Loading work.common_pkg(body) # Loading work.extension_pkg # Loading work.core_pkg # Loading work.alu_pkg(body) # Loading work.pipeline_conf_beh # Loading work.pipeline_tb(behavior) # Loading work.mem_pkg # Loading work.fetch_stage(behav) # Loading work.rom(behaviour) # Loading work.decode_stage(behav) # Loading work.r2_w_ram(behaviour) # Loading work.decoder(behav_d) # Loading work.execute_stage(behav) # Loading work.alu(behaviour) # Loading work.exec_op(add_op) # Loading work.exec_op(and_op) # Loading work.exec_op(or_op) # Loading work.exec_op(xor_op) # Loading work.exec_op(shift_op) # Loading work.extension_gpm(behav) # Loading work.extension_uart_pkg # Loading work.extension_7seg_pkg(body) # Loading work.writeback_stage(behav) # Loading work.r_w_ram(behaviour) # Loading work.extension_uart(behav) # Loading ieee.std_logic_arith(body) # Loading ieee.std_logic_unsigned(body) # Loading work.rs232_tx(beh) # Loading work.rs232_rx(beh) # Loading work.extension_7seg(behav) # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 30 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram do testcore.do # ** Warning: (vlib-34) Library already exists at "work". # Modifying modelsim.ini # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package mem_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r_w_ram # -- Loading entity r_w_ram # ** Warning: ../src/r_w_ram_b.vhd(120): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling entity r2_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r2_w_ram # -- Loading entity r2_w_ram # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity rom # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of rom # -- Loading entity rom # ** Warning: ../src/rom_b.vhd(120): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package common_pkg # -- Compiling package body common_pkg # -- Loading package common_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package core_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_uart_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Compiling entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture behav of extension_uart # -- Loading entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_7seg_pkg # -- Compiling package body extension_7seg_pkg # -- Loading package extension_7seg_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_7seg_pkg # -- Compiling entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of extension_7seg # -- Loading entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_tx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_rx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav_d of decoder # -- Loading entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of fetch_stage # -- Loading entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav of decode_stage # -- Loading entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package alu_pkg # -- Compiling package body alu_pkg # -- Loading package alu_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture add_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture and_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture or_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture xor_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture shift_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity alu # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behaviour of alu # -- Loading entity alu # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of extension_gpm # -- Loading entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behav of execute_stage # -- Loading entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling entity writeback_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of writeback_stage # -- Loading entity writeback_stage # ** Warning: ../src/writeback_stage_b.vhd(206): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(220): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(233): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(245): Case choice must be a locally static expression. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity pipeline_tb # -- Compiling architecture behavior of pipeline_tb # -- Compiling configuration pipeline_conf_beh # -- Loading entity pipeline_tb # -- Loading architecture behavior of pipeline_tb # -- Loading entity fetch_stage # -- Loading entity decode_stage # -- Loading package alu_pkg # -- Loading entity execute_stage # -- Loading entity writeback_stage # vsim -t ns work.pipeline_conf_beh # Loading std.standard # Loading ieee.std_logic_1164(body) # Loading ieee.numeric_std(body) # Loading work.common_pkg(body) # Loading work.extension_pkg # Loading work.core_pkg # Loading work.alu_pkg(body) # Loading work.pipeline_conf_beh # Loading work.pipeline_tb(behavior) # Loading work.mem_pkg # Loading work.fetch_stage(behav) # Loading work.rom(behaviour) # Loading work.decode_stage(behav) # Loading work.r2_w_ram(behaviour) # Loading work.decoder(behav_d) # Loading work.execute_stage(behav) # Loading work.alu(behaviour) # Loading work.exec_op(add_op) # Loading work.exec_op(and_op) # Loading work.exec_op(or_op) # Loading work.exec_op(xor_op) # Loading work.exec_op(shift_op) # Loading work.extension_gpm(behav) # Loading work.extension_uart_pkg # Loading work.extension_7seg_pkg(body) # Loading work.writeback_stage(behav) # Loading work.r_w_ram(behaviour) # Loading work.extension_uart(behav) # Loading ieee.std_logic_arith(body) # Loading ieee.std_logic_unsigned(body) # Loading work.rs232_tx(beh) # Loading work.rs232_rx(beh) # Loading work.extension_7seg(behav) # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 30 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram do testcore.do # ** Warning: (vlib-34) Library already exists at "work". # Modifying modelsim.ini # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package mem_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r_w_ram # -- Loading entity r_w_ram # ** Warning: ../src/r_w_ram_b.vhd(120): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling entity r2_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r2_w_ram # -- Loading entity r2_w_ram # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity rom # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of rom # -- Loading entity rom # ** Warning: ../src/rom_b.vhd(120): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package common_pkg # -- Compiling package body common_pkg # -- Loading package common_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package core_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_uart_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Compiling entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture behav of extension_uart # -- Loading entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_7seg_pkg # -- Compiling package body extension_7seg_pkg # -- Loading package extension_7seg_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_7seg_pkg # -- Compiling entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of extension_7seg # -- Loading entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_tx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_rx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav_d of decoder # -- Loading entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of fetch_stage # -- Loading entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav of decode_stage # -- Loading entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package alu_pkg # -- Compiling package body alu_pkg # -- Loading package alu_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture add_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture and_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture or_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture xor_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture shift_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity alu # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behaviour of alu # -- Loading entity alu # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of extension_gpm # -- Loading entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behav of execute_stage # -- Loading entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling entity writeback_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of writeback_stage # -- Loading entity writeback_stage # ** Warning: ../src/writeback_stage_b.vhd(206): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(220): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(233): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(245): Case choice must be a locally static expression. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity pipeline_tb # -- Compiling architecture behavior of pipeline_tb # -- Compiling configuration pipeline_conf_beh # -- Loading entity pipeline_tb # -- Loading architecture behavior of pipeline_tb # -- Loading entity fetch_stage # -- Loading entity decode_stage # -- Loading package alu_pkg # -- Loading entity execute_stage # -- Loading entity writeback_stage # vsim -t ns work.pipeline_conf_beh # Loading std.standard # Loading ieee.std_logic_1164(body) # Loading ieee.numeric_std(body) # Loading work.common_pkg(body) # Loading work.extension_pkg # Loading work.core_pkg # Loading work.alu_pkg(body) # Loading work.pipeline_conf_beh # Loading work.pipeline_tb(behavior) # Loading work.mem_pkg # Loading work.fetch_stage(behav) # Loading work.rom(behaviour) # Loading work.decode_stage(behav) # Loading work.r2_w_ram(behaviour) # Loading work.decoder(behav_d) # Loading work.execute_stage(behav) # Loading work.alu(behaviour) # Loading work.exec_op(add_op) # Loading work.exec_op(and_op) # Loading work.exec_op(or_op) # Loading work.exec_op(xor_op) # Loading work.exec_op(shift_op) # Loading work.extension_gpm(behav) # Loading work.extension_uart_pkg # Loading work.extension_7seg_pkg(body) # Loading work.writeback_stage(behav) # Loading work.r_w_ram(behaviour) # Loading work.extension_uart(behav) # Loading ieee.std_logic_arith(body) # Loading ieee.std_logic_unsigned(body) # Loading work.rs232_tx(beh) # Loading work.rs232_rx(beh) # Loading work.extension_7seg(behav) # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 30 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram do testcore.do # ** Warning: (vlib-34) Library already exists at "work". # Modifying modelsim.ini # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package mem_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity r_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r_w_ram # -- Loading entity r_w_ram # ** Warning: ../src/r_w_ram_b.vhd(120): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling entity r2_w_ram # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of r2_w_ram # -- Loading entity r2_w_ram # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling entity rom # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Compiling architecture behaviour of rom # -- Loading entity rom # ** Warning: ../src/rom_b.vhd(120): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Compiling package common_pkg # -- Compiling package body common_pkg # -- Loading package common_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package core_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_uart_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_uart_pkg # -- Compiling entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture behav of extension_uart # -- Loading entity extension_uart # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package extension_7seg_pkg # -- Compiling package body extension_7seg_pkg # -- Loading package extension_7seg_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package extension_7seg_pkg # -- Compiling entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of extension_7seg # -- Loading entity extension_7seg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_tx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_tx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package extension_uart_pkg # -- Compiling architecture beh of rs232_rx # -- Loading package std_logic_arith # -- Loading package std_logic_unsigned # -- Loading entity rs232_rx # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav_d of decoder # -- Loading entity decoder # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of fetch_stage # -- Loading entity fetch_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package mem_pkg # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling architecture behav of decode_stage # -- Loading entity decode_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling package alu_pkg # -- Compiling package body alu_pkg # -- Loading package alu_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture add_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture and_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture or_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture xor_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture shift_op of exec_op # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity alu # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behaviour of alu # -- Loading entity alu # -- Loading entity exec_op # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling package extension_pkg # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Compiling entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Compiling architecture behav of extension_gpm # -- Loading entity extension_gpm # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package alu_pkg # -- Compiling architecture behav of execute_stage # -- Loading entity execute_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Compiling entity writeback_stage # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Loading package mem_pkg # -- Loading package extension_uart_pkg # -- Loading package extension_7seg_pkg # -- Compiling architecture behav of writeback_stage # -- Loading entity writeback_stage # ** Warning: ../src/writeback_stage_b.vhd(206): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(220): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(233): Case choice must be a locally static expression. # ** Warning: ../src/writeback_stage_b.vhd(245): Case choice must be a locally static expression. # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 # -- Loading package standard # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package common_pkg # -- Loading package extension_pkg # -- Loading package core_pkg # -- Compiling entity pipeline_tb # -- Compiling architecture behavior of pipeline_tb # -- Compiling configuration pipeline_conf_beh # -- Loading entity pipeline_tb # -- Loading architecture behavior of pipeline_tb # -- Loading entity fetch_stage # -- Loading entity decode_stage # -- Loading package alu_pkg # -- Loading entity execute_stage # -- Loading entity writeback_stage # vsim -t ns work.pipeline_conf_beh # Loading std.standard # Loading ieee.std_logic_1164(body) # Loading ieee.numeric_std(body) # Loading work.common_pkg(body) # Loading work.extension_pkg # Loading work.core_pkg # Loading work.alu_pkg(body) # Loading work.pipeline_conf_beh # Loading work.pipeline_tb(behavior) # Loading work.mem_pkg # Loading work.fetch_stage(behav) # Loading work.rom(behaviour) # Loading work.decode_stage(behav) # Loading work.r2_w_ram(behaviour) # Loading work.decoder(behav_d) # Loading work.execute_stage(behav) # Loading work.alu(behaviour) # Loading work.exec_op(add_op) # Loading work.exec_op(and_op) # Loading work.exec_op(or_op) # Loading work.exec_op(xor_op) # Loading work.exec_op(shift_op) # Loading work.extension_gpm(behav) # Loading work.extension_uart_pkg # Loading work.extension_7seg_pkg(body) # Loading work.writeback_stage(behav) # Loading work.r_w_ram(behaviour) # Loading work.extension_uart(behav) # Loading ieee.std_logic_arith(body) # Loading ieee.std_logic_unsigned(body) # Loading work.rs232_tx(beh) # Loading work.rs232_rx(beh) # Loading work.extension_7seg(behav) # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 30 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram